Cadence Extraction QRC - Parasitic Extractor - Version 11.1.0-p337 Thu Dec 8 19:29:16 PST 2011 ---------------------------------------------------------------------------------------------------- Copyright 2010 Cadence Design Systems, Inc. INFO (EXTQRCXOPT-243) : For Assura inputs, if the "output_setup -directory_name" option was not specified, it is automatically set to the input directory. INFO (LBRCXM-624): No temperature processing will occur for the layer K1, because this layerhas no Tc1 and Tc2. INFO (LBRCXM-624): No temperature processing will occur for the layer QT, because this layerhas no Tc1 and Tc2. INFO (LBRCXM-624): No temperature processing will occur for the layer HT, because this layerhas no Tc1 and Tc2. INFO (LBRCXU-108): Starting /software/Cadence/ASSURA41/current_610/tools/assura/bin/rcxToDfII /home/zheng072/zy_senser/inverter_test/__qrc.rcx_cmd -t -f /home/zheng072/zy_senser/inverter_test/extview.tmp -w /home/zheng072/zy_senser/inverter_test -cdslib /home/zheng072/zy_senser/cds.lib Virtuoso Framework License (111) was checked out successfully. Total checkout time was 0.06s. @(#)$CDS: rcxToDfII version av4.1:Production:dfII6.1.4:IC6.1.4.500.10 09/21/2011 15:04 (vl-sfrh48) $ sub-version 4.1_USR2_HF10, integ signature 2011-09-21-1424 run on cvlws01.cvl.ece from /software/Cadence/ASSURA41/04.12.010-614_lnx86/tools.lnx86/assura/bin/32bit/rcxToDfII on Mon Apr 23 23:42:19 2012 *WARNING* '/home/zheng072/zy_senser/cds.lib', Line 2: Skipping: '*DEFINE' analogLib $CDS/tools/dfII/etc/cdslib/artist/analogLib *WARNING* '/home/zheng072/zy_senser/cds.lib', Line 3: Skipping: '*DEFINE' sbaLib $CDS/tools/dfII/etc/cdslib/artist/sbaLib *WARNING* '/home/zheng072/zy_senser/cds.lib', Line 4: Skipping: '*DEFINE' basic $CDS/tools/dfII/etc/cdslib/basic *WARNING* '/home/zheng072/zy_senser/cds.lib', Line 5: Skipping: '*DEFINE' sample $CDS/tools/dfII/samples/cdslib/sample *WARNING* '/home/zheng072/zy_senser/cds.lib', Line 6: Skipping: '*DEFINE' US_8ths $CDS/tools/dfII/etc/cdslib/sheets/US_8ths Loading IBM PDK cmrf7sf procedures... IBM PDK cmrf7sf Device Status Table loaded. *Error* eval: undefined function - hiGraphicMode *WARNING* LIB test1 from File /home/zheng072/zy_senser/cds.lib Line 11 redefines LIB test1 from the same file (defined earlier.) *WARNING* '/home/zheng072/zy_senser/cds.lib', Line 2: Skipping: '*DEFINE' analogLib $CDS/tools/dfII/etc/cdslib/artist/analogLib *WARNING* '/home/zheng072/zy_senser/cds.lib', Line 3: Skipping: '*DEFINE' sbaLib $CDS/tools/dfII/etc/cdslib/artist/sbaLib *WARNING* '/home/zheng072/zy_senser/cds.lib', Line 4: Skipping: '*DEFINE' basic $CDS/tools/dfII/etc/cdslib/basic *WARNING* '/home/zheng072/zy_senser/cds.lib', Line 5: Skipping: '*DEFINE' sample $CDS/tools/dfII/samples/cdslib/sample *WARNING* '/home/zheng072/zy_senser/cds.lib', Line 6: Skipping: '*DEFINE' US_8ths $CDS/tools/dfII/etc/cdslib/sheets/US_8ths *WARNING* LIB test1 from File /home/zheng072/zy_senser/cds.lib Line 11 redefines LIB test1 from the same file (defined earlier.) *WARNING* (TECH-230035): User-defined rule "minExtensionDistance" in constraint group "foundry" of techDB "cmrf7sf" conflicts with a built-in constraint with the same name. You may write out "constraintGroups" section to an ASCII file, reopen the technology database in "a" mode, and reload the file to update the database. Another option is to rename this rule. *WARNING* (TECH-230035): User-defined rule "minExtensionDistance" in constraint group "foundry" of techDB "cmrf7sf" conflicts with a built-in constraint with the same name. You may write out "constraintGroups" section to an ASCII file, reopen the technology database in "a" mode, and reload the file to update the database. Another option is to rename this rule. *WARNING* (TECH-230035): User-defined rule "minExtensionDistance" in constraint group "foundry" of techDB "cmrf7sf" conflicts with a built-in constraint with the same name. You may write out "constraintGroups" section to an ASCII file, reopen the technology database in "a" mode, and reload the file to update the database. Another option is to rename this rule. *WARNING* (TECH-230035): User-defined rule "minExtensionDistance" in constraint group "foundry" of techDB "cmrf7sf" conflicts with a built-in constraint with the same name. You may write out "constraintGroups" section to an ASCII file, reopen the technology database in "a" mode, and reload the file to update the database. Another option is to rename this rule. *WARNING* (TECH-230035): User-defined rule "minExtensionDistance" in constraint group "foundry" of techDB "cmrf7sf" conflicts with a built-in constraint with the same name. You may write out "constraintGroups" section to an ASCII file, reopen the technology database in "a" mode, and reload the file to update the database. Another option is to rename this rule. *WARNING* (TECH-230035): User-defined rule "minExtensionDistance" in constraint group "foundry" of techDB "cmrf7sf" conflicts with a built-in constraint with the same name. You may write out "constraintGroups" section to an ASCII file, reopen the technology database in "a" mode, and reload the file to update the database. Another option is to rename this rule. *WARNING* (TECH-230035): User-defined rule "minExtensionDistance" in constraint group "foundry" of techDB "cmrf7sf" conflicts with a built-in constraint with the same name. You may write out "constraintGroups" section to an ASCII file, reopen the technology database in "a" mode, and reload the file to update the database. Another option is to rename this rule. *WARNING* (TECH-230035): User-defined rule "minExtensionDistance" in constraint group "foundry" of techDB "cmrf7sf" conflicts with a built-in constraint with the same name. You may write out "constraintGroups" section to an ASCII file, reopen the technology database in "a" mode, and reload the file to update the database. Another option is to rename this rule. *WARNING* (TECH-230035): User-defined rule "minExtensionDistance" in constraint group "foundry" of techDB "cmrf7sf" conflicts with a built-in constraint with the same name. You may write out "constraintGroups" section to an ASCII file, reopen the technology database in "a" mode, and reload the file to update the database. Another option is to rename this rule. *WARNING* (TECH-230035): User-defined rule "minExtensionDistance" in constraint group "foundry" of techDB "cmrf7sf" conflicts with a built-in constraint with the same name. You may write out "constraintGroups" section to an ASCII file, reopen the technology database in "a" mode, and reload the file to update the database. Another option is to rename this rule. *WARNING* (TECH-230035): User-defined rule "minExtensionDistance" in constraint group "foundry" of techDB "cmrf7sf" conflicts with a built-in constraint with the same name. You may write out "constraintGroups" section to an ASCII file, reopen the technology database in "a" mode, and reload the file to update the database. Another option is to rename this rule. *WARNING* (TECH-230035): User-defined rule "minExtensionDistance" in constraint group "foundry" of techDB "cmrf7sf" conflicts with a built-in constraint with the same name. You may write out "constraintGroups" section to an ASCII file, reopen the technology database in "a" mode, and reload the file to update the database. Another option is to rename this rule. *WARNING* (TECH-230035): User-defined rule "minExtensionDistance" in constraint group "foundry" of techDB "cmrf7sf" conflicts with a built-in constraint with the same name. You may write out "constraintGroups" section to an ASCII file, reopen the technology database in "a" mode, and reload the file to update the database. Another option is to rename this rule. *WARNING* (TECH-230035): User-defined rule "minExtensionDistance" in constraint group "foundry" of techDB "cmrf7sf" conflicts with a built-in constraint with the same name. You may write out "constraintGroups" section to an ASCII file, reopen the technology database in "a" mode, and reload the file to update the database. Another option is to rename this rule. INFO (LBRCXU-114): Finished /software/Cadence/ASSURA41/current_610/tools/assura/bin/rcxToDfII INFO (LBRCXU-108): Starting /software/Cadence/ASSURA41/current_610/tools/assura/bin/avRCXxref /home/zheng072/zy_senser/inverter_test/__qrc.rcx_cmd -useRunName @(#)$CDS: avRCXxref version av4.1:Production:dfII6.1.4:IC6.1.4.500.10 09/21/2011 14:58 (vl-sfrh48) $ sub-version 4.1_USR2_HF10, integ signature 2011-09-21-1424 run on cvlws01.cvl.ece at Mon Apr 23 23:42:22 2012 Reading rsf INFO (LBRCXU-114): Finished /software/Cadence/ASSURA41/current_610/tools/assura/bin/avRCXxref INFO (LBRCXM-642): Constructing the RCX run script Forking: /software/Cadence/PEV111/tools.lnx86/extraction/bin/32bit//capgen -techdir /home/zheng072/IBM_7RF_PDK/IBM_PDK/cmrf7sf/V1.9.0.2ML/Assura/QRC/7LM -lvs /home/zheng072/zy_senser/inverter_test.xcn -p2lvs /home/zheng072/IBM_7RF_PDK/IBM_PDK/cmrf7sf/V1.9.0.2ML/Assura/QRC/7LM/qrcTechFile -reseqn -sw3d -auto_reorder_off -cap_ground_layer substrate -cap_unit 1 -dsub nw,pwell,substrate -encrypt -exclude_gate_res -length_units meters -lexclude PC -p PC,allGate,RX -add_via_effect ML,RX -canonical_res_caps -blocking RfDevice_pc_model,sti,RX,PC -blocking RfDevice_m1_model,sti,RX,PC,M1 -blocking RfDevice_m2_model,sti,RX,PC,M1,M2 -blocking jfet_m1_model,sti,M1 -blocking jfet_m2_model,sti,M1,M2 -blocking vlnpn_pc_model,sti,RX,PC -blocking vlnpn_m1_model,sti,RX,PC,M1 -blocking vlnpn_m2_model,sti,RX,PC,M1,M2 -blocking SBD,sti,RX -blocking SBDNW,sti,RX -blocking PSBD,sti,RX -blocking hvr_dev,sti,RX -blocking diffhavar_dev:1.04,sti,RX,M1 -blocking DI_diode:0.94,sti,RX -blocking DI_VPNP,sti,RX -blocking DIPI_diode,sti,RX -blocking mosvar50_dev,sti,RX,PC,M1 -blocking pcap_dev,sti,RX,PC,M1 -blocking diffmosvar_dev,sti,RX,PC,M1 -blocking mosvar_dev,sti,RX,PC,M1 -blocking ncap_dev:0.24,sti,RX,PC -blocking ncap33_dev:0.24,sti,RX,PC -blocking ncap_rx,sti,RX,PC,M1 -blocking ESD_ndsx,sti,RX -blocking ESD_ndsx_GR,sti,RX -blocking ESD_ndsx_GR_sized,sti,RX -blocking ESD_ndsx_pi,sti,RX -blocking ESD_pdnw_pi,sti,RX -blocking ESD_pdnw_diode,sti,RX -blocking ESD_pdnw_diode_GR,sti,RX -blocking ESD_pdnw_diode_GR_sized,sti,RX -blocking rnw6pc_dev,sti,RX,PC -blocking res6pc_dev_pwell,sti,RX,PC -blocking res6pc_dev_subs,sti,RX,PC -blocking rnw6rr_dev,sti,RX -blocking res6rr_dev_pwell,sti,RX -blocking res6rr_dev_subs,sti,RX -blocking res6rp_dev_pwell,sti,RX,PC -blocking res6rp_dev_subs,sti,RX,PC -blocking res6n_dev_pwell,sti,RX,PC -blocking res6n_dev_subs,sti,RX,PC -blocking res6n_sblk_pwell,sti,RX,PC -blocking res6n_sblk_subs,sti,RX,PC -blocking rnw6rp_dev,sti,RX,PC -blocking res6p_dev,sti,RX,PC -blocking res6p_sblk,sti,RX,PC -blocking k1_dev_bb,sti,M2 -blocking k1_dev_sx_subs,sti,M2 -blocking k1_dev_sx_pwell,sti,M2 -blocking vncap_m1_model,M1 -blocking vncap_m2_model,M2 -blocking vncap_m2m1_model,M1,M2 -blocking vncap_m3_model,M3 -blocking vncap_m3m2_model,M2,M3 -blocking vncap_m3m1_model,M1,M2,M3 -blocking vncap_m4_model,M4 -blocking vncap_m4m3_model,M3,M4 -blocking vncap_m4m2_model,M2,M3,M4 -blocking vncap_m4m1_model,M1,M2,M3,M4 -blocking vncap_m5_model,M5 -blocking vncap_m5m4_model,M4,M5 -blocking vncap_m5m3_model,M3,M4,M5 -blocking vncap_m5m2_model,M2,M3,M4,M5 -blocking vncap_m5m1_model,M1,M2,M3,M4,M5 -blocking vncap_mt_model,MT -blocking vncap_mtm5_model,M5,MT -blocking vncap_mtm4_model,M4,M5,MT -blocking vncap_mtm3_model,M3,M4,M5,MT -blocking vncap_mtm2_model,M2,M3,M4,M5,MT -blocking vncap_mtm1_model,M1,M2,M3,M4,M5,MT -blocking MIMsx:2.0,MT,QT,ML -blocking MIMnw:2.0,MT,QT,ML -blocking MIMpi:2.0,MT,QT,ML -blocking MIMhdsx:2.0,MT,QT,ML -blocking MIMhdnw:2.0,MT,QT,ML -blocking MIMhdpi:2.0,MT,QT,ML -blocking MIMHKsx:2.0,MT,QT,ML -blocking MIMHKnw:2.0,MT,QT,ML -blocking MIMHKpi:2.0,MT,QT,ML -blocking MIMHKpc:2.0,MT,QT,ML -blocking DUALMIMsx:7.24,MT,QT,HT,ML -blocking DUALMIMnw:7.24,MT,QT,HT,ML -blocking DUALMIMpi:7.24,MT,QT,HT,ML -blocking DUALMIMhdsx:7.24,MT,QT,HT,ML -blocking DUALMIMhdnw:7.24,MT,QT,HT,ML -blocking DUALMIMhdpi:7.24,MT,QT,HT,ML -blocking coupledA_shieldT:0.02,MT,ML -blocking coupledA_shield5:0.02,M5,MT,ML -blocking coupledA_shield4:0.02,M4,M5,MT,ML -blocking coupledA_shield3:0.02,M3,M4,M5,MT,ML -blocking coupledA_shield2:0.02,M2,M3,M4,M5,MT,ML -blocking coupledA_shield1:0.02,M1,M2,M3,M4,M5,MT,ML -res_blocking coupledA_shieldT:0.02,ml -res_blocking coupledA_shield5:0.02,ml -res_blocking coupledA_shield4:0.02,ml -res_blocking coupledA_shield3:0.02,ml -res_blocking coupledA_shield2:0.02,ml -res_blocking coupledA_shield1:0.02,ml -blocking coupledT_shield1:0.02,M1,M2,M3,M4,M5,MT -blocking coupledT_shield2:0.02,M2,M3,M4,M5,MT -blocking coupledT_shield3:0.02,M3,M4,M5,MT -blocking coupledT_shield4:0.02,M4,M5,MT -blocking coupledT_shield5:0.02,M5,MT -blocking coupled5_shield1:0.02,M1,M2,M3,M4,M5 -blocking coupled5_shield2:0.02,M2,M3,M4,M5 -blocking coupled5_shield3:0.02,M3,M4,M5 -blocking coupled5_shield4:0.02,M4,M5 -blocking coupled4_shield1:0.02,M1,M2,M3,M4 -blocking coupled4_shield2:0.02,M2,M3,M4 -blocking coupled4_shield3:0.02,M3,M4 -blocking coupled3_shield1:0.02,M1,M2,M3 -blocking coupled3_shield2:0.02,M2,M3 -blocking coupled2_shield1:0.02,M1,M2 -blocking singleEndA_shieldT:0.02,MT,ML -blocking singleEndA_shield5:0.02,M5,MT,ML -blocking singleEndA_shield4:0.02,M4,M5,MT,ML -blocking singleEndA_shield3:0.02,M3,M4,M5,MT,ML -blocking singleEndA_shield2:0.02,M2,M3,M4,M5,MT,ML -blocking singleEndA_shield1:0.02,M1,M2,M3,M4,M5,MT,ML -res_blocking singleEndA_shieldT:0.02,ml -res_blocking singleEndA_shield5:0.02,ml -res_blocking singleEndA_shield4:0.02,ml -res_blocking singleEndA_shield3:0.02,ml -res_blocking singleEndA_shield2:0.02,ml -res_blocking singleEndA_shield1:0.02,ml -blocking singleEndT_shield1:0.02,M1,M2,M3,M4,M5,MT -blocking singleEndT_shield2:0.02,M2,M3,M4,M5,MT -blocking singleEndT_shield3:0.02,M3,M4,M5,MT -blocking singleEndT_shield4:0.02,M4,M5,MT -blocking singleEndT_shield5:0.02,M5,MT -blocking singleEnd5_shield1:0.02,M1,M2,M3,M4,M5 -blocking singleEnd5_shield2:0.02,M2,M3,M4,M5 -blocking singleEnd5_shield3:0.02,M3,M4,M5 -blocking singleEnd5_shield4:0.02,M4,M5 -blocking singleEnd4_shield1:0.02,M1,M2,M3,M4 -blocking singleEnd4_shield2:0.02,M2,M3,M4 -blocking singleEnd4_shield3:0.02,M3,M4 -blocking singleEnd3_shield1:0.02,M1,M2,M3 -blocking singleEnd3_shield2:0.02,M2,M3 -blocking singleEnd2_shield1:0.02,M1,M2 -blocking ml_transmission,sti,ML -blocking symind3_mlml_m1,sti,M1,MT,ML -blocking symind2_mlml_m1,sti,M1,MT,ML -blocking ind2_mtml_m1,sti,M1,MT,ML -res_blocking symind3_mlml_m1,mt_resistive,ml -res_blocking symind2_mlml_m1,mt_resistive,ml -res_blocking ind2_mtml_m1,mt_resistive,ml -blocking symind3_mlml_bb,sti,MT,ML -blocking symind2_mlml_bb,sti,MT,ML -blocking ind2_mtml_bb,sti,MT,ML -res_blocking symind3_mlml_bb,mt_resistive,ml -res_bloINFO (LBMISC-215205): *** Cadence Extraction QRC Techgen -trans VERSION 11.1 Linux 32 bit - (Thu Dec 8 17:51:25 PST 2011) *** INFO (CAPGEN-41199): Techgen -trans results will be written to directory: /home/zheng072/zy_senser/inverter_test INFO (CAPGEN-41737): Lvs layers DIPI_anode DIPI_cathode DIPI_iso_node DIPI_subc DI_anode DI_cathode DI_coll ESD_ndsx_minus ESD_ndsx_plus ESD_ndsx_plus_term ESD_pdnw_minus ESD_pdnw_minus_term ESD_pdnw_plus ESD_pdnw_subc Gate HVdiff IND_AC_WIRE LVgate PSBD_A PSBD_C RF_BULK SBDNW_A SBDNW_C SBD_A SBD_C SXCUT_bb bondPad_top bond_bb_term bond_dummy_float bond_dummy_gp bond_hr_term butt_top corrPoint_term_M1 corrPoint_term_M2 corrPoint_term_ND corrPoint_term_PC corrPoint_term_PD dualbot dualmid dummy_ct efuse_anod efuse_cath esdnsh_drain esdnsh_source esdpsh_drain esdpsh_source float_ct hvr_anod hvr_cath ind_bb_term ind_hr_term jfet_drain jfet_gate jfet_source m1LV mim_bot ml__c mosvar_nw mosvar_pc mosvar_rx mt_resistive__c ncap_nd ncap_ndiff ncap_nw ncap_pc ncap_rx ndRF_comp_dr ndRF_comp_sc nd_comp nd_tie ndiff_tie nfRF_drain nfRF_source nfet_sd nfeti_bottom_iso ns nstap ntie_top nw nw_ring nwell_diff padOverDev_top pcLV pcap_pc pcap_rx pdRF_comp_dr pdRF_comp_sc pd_comp pd_tie pdiff_tie pfRF_drain pfRF_source pfet_sd ptie_top pwell pwell_diff qtblk qttop res6n_term res6p_term res6pc_term shield1 shield2 shield3 shield4 shield5 shieldT sub_diff sub_diff_RF sub_diff_top subs_sti substrate substrate_ptap substrate_text subterm symind_ct symind_in symind_out trans_in_ml trans_in_mt trans_out_ml trans_out_mt trans_va1_m2 trans_va1_m3 trans_va1_m4 trans_va1_m5 trans_va1_ml trans_va1_mt trans_va2_m2 trans_va2_m3 trans_va2_m4 trans_va2_m5 trans_va2_ml trans_va2_mt trans_va_m2 trans_va_m3 trans_va_m4 trans_va_m5 trans_va_ml trans_va_mt trans_vb1_m2 trans_vb1_m3 trans_vb1_m4 trans_vb1_m5 trans_vb1_ml trans_vb1_mt trans_vb2_m2 trans_vb2_m3 trans_vb2_m4 trans_vb2_m5 trans_vb2_ml trans_vb2_mt trans_vb_m2 trans_vb_m3 trans_vb_m4 trans_vb_m5 trans_vb_ml trans_vb_mt vlnpn_c vlnpn_e vlnpn_g vlnpn_sub vncap_term1 vncap_term2 vncap_term3 vncap_term4 vncap_term5 vncap_termt wb_pad_dv wb_pad_lv well are not mapped in layer_setup file WARNING (RCXSPIC-27103): Text attached to nonresistive layer 'pc_model' may cause connectivity errors. Please check the rule deck. WARNING (RCXSPIC-28081): no via between lyrs 'ML' & 'RX'; Via effect ignored. Remove the 2 layers for -add_via_effect WARNING (RCXSPIC-28081): no via between lyrs 'ML' & 'RX'; Via effect ignored. Remove the 2 layers for -add_via_effect WARNING (RCXSPIC-28081): no via between lyrs 'ML' & 'RX'; Via effect ignored. Remove the 2 layers for -add_via_effect WARNING (RCXSPIC-28081): no via between lyrs 'ML' & 'RX'; Via effect ignored. Remove the 2 layers for -add_via_effect WARNING (RCXSPIC-28081): no via between lyrs 'ML' & 'RX'; Via effect ignored. Remove the 2 layers for -add_via_effect WARNING (RCXSPIC-28081): no via between lyrs 'ML' & 'RX'; Via effect ignored. Remove the 2 layers for -add_via_effect WARNING (RCXSPIC-28081): no via between lyrs 'ML' & 'RX'; Via effect ignored. Remove the 2 layers for -add_via_effect WARNING (RCXSPIC-28081): no via between lyrs 'ML' & 'RX'; Via effect ignored. Remove the 2 layers for -add_via_effect WARNING (RCXSPIC-28081): no via between lyrs 'ML' & 'RX'; Via effect ignored. Remove the 2 layers for -add_via_effect WARNING (RCXSPIC-28081): no via between lyrs 'ML' & 'RX'; Via effect ignored. Remove the 2 layers for -add_via_effect cking symind2_mlml_bb,mt_resistive,ml -res_blocking ind2_mtml_bb,mt_resistive,ml -blocking bondPad_PC:5.28,sti,PC,ML -res_blocking bondPad_PC:5.28,ml -blocking bondPad_BB:5.28,sti,ML -res_blocking bondPad_BB,ml /home/zheng072/zy_senser/inverter_test NO check Successfully created RCX script '/home/zheng072/zy_senser/inverter_test/rcx.sh' INFO (LBRCXM-581): Checked out '1' license(s) for Virtuoso_QRC_Extraction_XL 11.10 INFO (LBRCXM-608): Executing command /bin/ksh /home/zheng072/zy_senser/inverter_test/rcx.sh ##======================================================= ##ADD_EXPLICIT_VIAS=Y ##ADD_BULK_TERMINAL=N ##AGDS_FILE=/dev/null ##AGDS_LAYER_MAP_FILE=/dev/null ##HCCI_DEV_PROP_FILE=/dev/null ##AGDS_SPICE_FILE=/dev/null ##AGDS_TEXT_LAYERS= ##ARRAY_VIAS_SPACING= ##ASSURA_RUN_DIR=/home/zheng072/zy_senser ##ASSURA_RUN_NAME=inverter_test ##BLACK_BOX_CELLS=/dev/null ##BREAK_WIDTH= ##CAP_COUPLING_FACTOR=1.0 ##CAP_EXTRACT_MODE=decoupled ##CAP_GROUND=gnd! ##CAP_MODELS=yes ##DANGLINGR=N ##DEVICE_FINGER_DELIMITER='@' ##DF2=Y ##DRACULA_RUN_DIR= ##DRACULA_RUN_NAME= ##ENABLESENSITIVITYEXTRACTION=N ##EXCLUDE_FLOAT_LIMIT= ##EXCLUDE_FLOAT_DECOPULING_FACTOR= ##EXCLUDE_FLOATING_NETS=N ##EXCLUDE_NETS_REDUCERC=/dev/null ##EXCLUDE_SELF_CAPS=N ##IGNORE_GATE_DIFFUSION_FRINGING_CAP=Y ##EXTRACT=rlc ##EXTRACT_MOS_DIFFUSION_AP=N ##EXTRACT_MOS_DIFFUSION_HIGH= ##EXTRACT_MOS_DIFFUSION_RES=N ##FILTER_SIZE=0.25 ##FIXED_NETS_FILE=/dev/null ##FMAX= ##FRACTURE_LENGTH_UNITS=MICRONS ##FREQUENCY_FILE=/dev/null ##GROUND_NETS= ##GROUND_NETS_FILE=/home/zheng072/zy_senser/qrc.GLOBAL.nets ##HCCI_DEV_PROP=7 ##HCCI_INST_PROP=6 ##HCCI_NET_PROP=5 ##HCCI_RULE_FILE= ##HCCI_RUN_DIR= ##HCCI_RUN_NAME= ##HEADER_FILE=/dev/null ##HIERARCHY_DELIMITER='/' ##HRCX_CELLS_FILE=/dev/null ##IMPORT_GLOBALS=Y ##LADDER_NETWORK=N ##LVS_SOURCE=assura ##M_FACTORR= ##M_FACTORW=N ##MACRO_CELL=Y ##MAX_FRACTURE_LENGTH=infinite ##MAX_SIGNALS= ##MERGE_PARALLEL_R=Y ##MINC= ##MINC_BY_PERCENTAGE= ##MINR=0.001 ##NET_NAME_SPACE=schematic ##NETS_FILE=/dev/null ##OUTPUT=/home/zheng072/zy_senser/inverter_test/extview.tmp ##OUTPUT_NET_NAME_SPACE=layout ##PARASITIC_BLOCKING_DEVICE_CELLS_TYPEgray ##PARASITIC_CAP_MODELS=yes ##PARASITIC_RES_MODELS=yes ##PARASITIC_RES_LENGTH=N ##PARASITIC_RES_WIDTH=N ##PARASITIC_RES_WIDTH_DRAWN=N ##PARASITIC_RES_UNIT=N ##PARTIAL_CAP_BLOCKING=N ##PEEC=N ##PIN_ORDER_FILE=/dev/null ##PIPE_ADVGEN= ##PIPE_SPICE2DB= ##POWER_NETS= ##POWER_NETS_FILE=/dev/null ##RC_FREQUENCY= ##RCXDIR=/home/zheng072/zy_senser/inverter_test ##RCXFS_HIGH=N ##RCXFS_NETS_FILE=/dev/null ##RCXFS_TYPE=none ##RCXFS_CUTOFF_DISTANCE= ##RCXFS_CUTOFF_DISTANCE= ##RCXFS_CUTOFF_DISTANCE= ##RCXFS_CUTOFF_DISTANCE= ##RCXFS_CUTOFF_DISTANCE= ##RCXFS_VIA_OFF=N ##REDUCERC=N ##REGION_LIMIT= ##RES_MODELS=yes ##RISE_TIME= ##SAVE_FILL_SHAPES=N ##SINGLE_CAP_EDSPF=N ##SHOW_DIODES=N ##SKIN_FREQUENCY= ##SPEF=N ##SPEF_UNITS= ##SPLIT_PINS=N ##SPLIT_PINS_DISTANCE= ##SUB_NODE_CHAR='#' ##SUBSTRATE_PROFILE=/dev/null ##SUBSTRATE_STAMPING_OFF=N ##TEMPDIR=/home/zheng072/zy_senser/inverter_test/rcx_temp ##TEMPERATURE=25.0 ##TYPE=full ##USER_REGION=/dev/null ##VARIANT_CELL_FILE=/dev/null ##VIA_EFFECT_OFF=N ##VIRTUAL_FILL= ##XREF=/home/zheng072/zy_senser/inverter_test/inverter_test.gnx,/home/zheng072/zy_senser/inverter_test/inverter_test.gdx ##XY_COORDINATES=c,r ##======================================================= CASE_SENSITIVE=TRUE export CASE_SENSITIVE TEMPDIR=`setTempDir /home/zheng072/zy_senser/inverter_test/rcx_temp` setTempDir /home/zheng072/zy_senser/inverter_test/rcx_tempexport TEMPDIR DEVICE_FINGER_DELIMITER='@' HIERARCHY_DELIMITER='/' cd /home/zheng072/zy_senser/inverter_test cat < caps2dversion * caps2d version: 10 ENDCAT cat < flattransUnit.info meters ENDCAT QRC=Y export QRC EXTRACT_RLC_PEEC_N=Y export EXTRACT_RLC_PEEC_N cat < topcellxcn.info /home/zheng072/zy_senser/inverter_test.xcn ENDCAT #==========================================================# # Generate RCX input data from Assura LVS database #==========================================================# GOALIE2DIR=/software/Cadence/PEV111/tools.lnx86/extraction/bin export GOALIE2DIR vdbToRcx /home/zheng072/zy_senser inverter_test -unit meters -- -V1 -H \ satfile -r /home/zheng072/zy_senser/inverter_test.xcn -gl vdd,gnd \ -df2 -xgl @(#)$CDS: vdbToRcx version av4.1:Production:dfII6.1.4:IC6.1.4.500.10 09/21/2011 15:05 (vl-sfrh48) $ 6.2 Linux 32 bit - (Tue Jul 14 10:58:38 PDT 2009) Opening LVS data for inverter_test in /home/zheng072/zy_senser Open time is 0.1 sec. Build pins/attributes took 0.3 sec. Processing PC_pin_text 1 shapes 0.0 sec. Processing RX_pin_text 0 shapes 0.0 sec. Processing M1_pin_text 3 shapes 0.0 sec. create satfile took 0.10 user, 0.01 sys, 0.00 elapsed, 349372.0 kbytes write edge PC_pin_text took 0.01 user, 0.00 sys, 0.00 elapsed, 349580.0 kbytes write edge RX_pin_text took 0.00 user, 0.00 sys, 0.00 elapsed, 349580.0 kbytes write edge M1_pin_text took 0.00 user, 0.00 sys, 0.00 elapsed, 349580.0 kbytes Building net map file. 0.0 sec. create netmap file took 0.00 user, 0.00 sys, 0.00 elapsed, 349580.0 kbytes create net file took 0.00 user, 0.00 sys, 0.00 elapsed, 349580.0 kbytes WARNING (LBCLV-5663): No bipolar models provided. Can't create bipolar files WARNING (LBCLV-5660): No resistor models provided. Can't create resistor files WARNING (LBCLV-5654): No capacitor models provided. Can't create capacitor file WARNING (LBCLV-5706): no generic models in rule file Device creation took 0.0 sec Processing GateCon 2 shapes 0.0 sec. write edge GateCon took 0.01 user, 0.00 sys, 0.00 elapsed, 349580.0 kbytes Processing LVgateCon 2 shapes 0.0 sec. write edge LVgateCon took 0.00 user, 0.00 sys, 0.00 elapsed, 349580.0 kbytes Processing pd_via 2 shapes 0.0 sec. write edge pd_via took 0.00 user, 0.00 sys, 0.00 elapsed, 349580.0 kbytes Processing nd_via 2 shapes 0.0 sec. write edge nd_via took 0.00 user, 0.00 sys, 0.00 elapsed, 349580.0 kbytes write edge nwdiode_dev1_Device_331 took 0.00 user, 0.00 sys, 1.00 elapsed, 349580.0 kbytes write edge pfet_dev_Device_41 took 0.00 user, 0.01 sys, 0.00 elapsed, 349580.0 kbytes write edge nfet_dev_Device_20 took 0.00 user, 0.00 sys, 0.00 elapsed, 349580.0 kbytes Processing nwdiode_dev1_Device_331 1 shapes 0.0 sec. Processing pfet_dev_Device_41 1 shapes 0.0 sec. Processing nfet_dev_Device_20 1 shapes 0.0 sec. Processing subs_sti 1 shapes 0.0 sec. write edge subs_sti took 0.00 user, 0.00 sys, 0.00 elapsed, 349580.0 kbytes Processing well 1 shapes 0.0 sec. write edge well took 0.00 user, 0.00 sys, 0.00 elapsed, 349580.0 kbytes Processing nw 1 shapes 0.0 sec. write edge nw took 0.00 user, 0.00 sys, 0.00 elapsed, 349580.0 kbytes Processing m1_resistive 3 shapes 0.0 sec. write edge m1_resistive took 0.00 user, 0.00 sys, 0.00 elapsed, 349580.0 kbytes Processing pc_resistive 1 shapes 0.0 sec. write edge pc_resistive took 0.00 user, 0.00 sys, 0.00 elapsed, 349712.0 kbytes Processing pc_model 2 shapes 0.0 sec. write edge pc_model took 0.00 user, 0.00 sys, 0.00 elapsed, 349712.0 kbytes write edge jfet_m2_model took 0.00 user, 0.00 sys, 0.00 elapsed, 349712.0 kbytes write edge jfet_m1_model took 0.01 user, 0.00 sys, 0.00 elapsed, 349712.0 kbytes write edge vlnpn_m2_model took 0.00 user, 0.00 sys, 0.00 elapsed, 349712.0 kbytes write edge vlnpn_m1_model took 0.00 user, 0.00 sys, 0.00 elapsed, 349712.0 kbytes write edge vlnpn_pc_model took 0.00 user, 0.00 sys, 0.00 elapsed, 349712.0 kbytes write edge RfDevice_m2_model took 0.00 user, 0.00 sys, 0.00 elapsed, 349712.0 kbytes write edge RfDevice_m1_model took 0.00 user, 0.00 sys, 0.00 elapsed, 349712.0 kbytes write edge RfDevice_pc_model took 0.00 user, 0.00 sys, 0.00 elapsed, 349712.0 kbytes write edge vncap_mtm1_model took 0.00 user, 0.00 sys, 0.00 elapsed, 349712.0 kbytes write edge vncap_mtm2_model took 0.00 user, 0.00 sys, 0.00 elapsed, 349712.0 kbytes write edge vncap_mtm3_model took 0.00 user, 0.00 sys, 0.00 elapsed, 349712.0 kbytes write edge vncap_mtm4_model took 0.00 user, 0.00 sys, 0.00 elapsed, 349712.0 kbytes write edge vncap_mtm5_model took 0.00 user, 0.00 sys, 0.00 elapsed, 349712.0 kbytes write edge vncap_mt_model took 0.00 user, 0.00 sys, 0.00 elapsed, 349712.0 kbytes write edge vncap_m5m1_model took 0.00 user, 0.00 sys, 0.00 elapsed, 349712.0 kbytes Processing jfet_m2_model 0 shapes 0.0 sec. Processing jfet_m1_model 0 shapes 0.0 sec. Processing vlnpn_m2_model 0 shapes 0.0 sec. Processing vlnpn_m1_model 0 shapes 0.0 sec. Processing vlnpn_pc_model 0 shapes 0.0 sec. Processing RfDevice_m2_model 0 shapes 0.0 sec. Processing RfDevice_m1_model 0 shapes 0.0 sec. Processing RfDevice_pc_model 0 shapes 0.0 sec. Processing vncap_mtm1_model 0 shapes 0.0 sec. Processing vncap_mtm2_model 0 shapes 0.0 sec. Processing vncap_mtm3_model 0 shapes 0.0 sec. Processing vncap_mtm4_model 0 shapes 0.0 sec. Processing vncap_mtm5_model 0 shapes 0.0 sec. Processing vncap_mt_model 0 shapes 0.0 sec. Processing vncap_m5m1_model 0 shapes 0.0 sec. Processing vncap_m5write edge vncap_m5m2_model took 0.00 user, 0.00 sys, 0.00 elapsed, 349712.0 kbytes write edge vncap_m5m3_model took 0.00 user, 0.00 sys, 0.00 elapsed, 349712.0 kbytes write edge vncap_m5m4_model took 0.00 user, 0.00 sys, 0.00 elapsed, 349712.0 kbytes write edge vncap_m5_model took 0.01 user, 0.00 sys, 0.00 elapsed, 349712.0 kbytes write edge vncap_m4m1_model took 0.00 user, 0.00 sys, 0.00 elapsed, 349712.0 kbytes write edge vncap_m4m2_model took 0.00 user, 0.00 sys, 0.00 elapsed, 349712.0 kbytes write edge vncap_m4m3_model took 0.00 user, 0.00 sys, 0.00 elapsed, 349712.0 kbytes write edge vncap_m4_model took 0.00 user, 0.00 sys, 0.00 elapsed, 349712.0 kbytes write edge vncap_m3m1_model took 0.00 user, 0.00 sys, 0.00 elapsed, 349712.0 kbytes write edge vncap_m3m2_model took 0.00 user, 0.00 sys, 0.00 elapsed, 349712.0 kbytes write edge vncap_m3_model took 0.00 user, 0.00 sys, 0.00 elapsed, 349712.0 kbytes write edge vncap_m2m1_model took 0.00 user, 0.00 sys, 0.00 elapsed, 349712.0 kbytes write edge vncap_m2_model took 0.00 user, 0.00 sys, 0.00 elapsed, 349712.0 kbytes write edge vncap_m1_model took 0.00 user, 0.00 sys, 0.00 elapsed, 349712.0 kbytes write edge bondpad_pc_model took 0.00 user, 0.00 sys, 0.00 elapsed, 349712.0 kbytes m2_model 0 shapes 0.0 sec. Processing vncap_m5m3_model 0 shapes 0.0 sec. Processing vncap_m5m4_model 0 shapes 0.0 sec. Processing vncap_m5_model 0 shapes 0.0 sec. Processing vncap_m4m1_model 0 shapes 0.0 sec. Processing vncap_m4m2_model 0 shapes 0.0 sec. Processing vncap_m4m3_model 0 shapes 0.0 sec. Processing vncap_m4_model 0 shapes 0.0 sec. Processing vncap_m3m1_model 0 shapes 0.0 sec. Processing vncap_m3m2_model 0 shapes 0.0 sec. Processing vncap_m3_model 0 shapes 0.0 sec. Processing vncap_m2m1_model 0 shapes 0.0 sec. Processing vncap_m2_model 0 shapes 0.0 sec. Processing vncap_m1_model 0 shapes 0.0 sec. Processing bondpad_pc_model 0 shapes 0.0 sec. Processing LVgate 2 shapes 0.0 sec. write edge LVgate took 0.00 user, 0.00 sys, 0.00 elapsed, 349712.0 kbytes Processing pd_comp 2 shapes 0.0 sec. write edge pd_comp took 0.00 user, 0.00 sys, 0.00 elapsed, 349712.0 kbytes Processing nd_comp 2 shapes 0.0 sec. write edge nd_comp took 0.00 user, 0.00 sys, 0.00 elapsed, 349712.0 kbytes Processing ca4 4 shapes 0.0 sec. write edge ca4 took 0.00 user, 0.00 sys, 0.00 elapsed, 349712.0 kbytes write edge transmission1 took 0.00 user, 0.01 sys, 0.00 elapsed, 349712.0 kbytes write edge ind took 0.00 user, 0.00 sys, 0.00 elapsed, 349712.0 kbytes write edge ml_RES_min took 0.00 user, 0.00 sys, 0.00 elapsed, 349712.0 kbytes write edge mt_RES_min took 0.00 user, 0.00 sys, 0.00 elapsed, 349712.0 kbytes write edge m5_RES_min took 0.00 user, 0.00 sys, 0.00 elapsed, 349712.0 kbytes write edge m4_RES_min took 0.00 user, 0.00 sys, 0.00 elapsed, 349712.0 kbytes write edge m3_RES_min took 0.00 user, 0.00 sys, 0.00 elapsed, 349712.0 kbytes write edge m2_RES_min took 0.00 user, 0.00 sys, 0.00 elapsed, 349712.0 kbytes write edge m1_RES_min took 0.00 user, 0.00 sys, 0.00 elapsed, 349712.0 kbytes Processing transmission1 0 shapes 0.0 sec. Processing ind 0 shapes 0.0 sec. Processing ml_RES_min 0 shapes 0.0 sec. Processing mt_RES_min 0 shapes 0.0 sec. Processing m5_RES_min 0 shapes 0.0 sec. Processing m4_RES_min 0 shapes 0.0 sec. Processing m3_RES_min 0 shapes 0.0 sec. Processing m2_RES_min 0 shapes 0.0 sec. Processing m1_RES_min 0 shapes 0.0 sec. Processing Gate 2 shapes 0.0 sec. write edge Gate took 0.00 user, 0.00 sys, 0.00 elapsed, 349712.0 kbytes Processing substrate_text 1 shapes 0.0 sec. write edge substrate_text took 0.01 user, 0.00 sys, 0.00 elapsed, 349712.0 kbytes Processing substrate 1 shapes 0.0 sec. write edge substrate took 0.00 user, 0.00 sys, 0.00 elapsed, 349712.0 kbytes Processing pfet_sd 2 shapes 0.0 sec. write edge pfet_sd took 0.00 user, 0.00 sys, 0.00 elapsed, 349712.0 kbytes Processing nfet_sd 2 shapes 0.0 sec. write edge nfet_sd took 0.00 user, 0.00 sys, 0.00 elapsed, 349712.0 kbytes write edge RF took 0.00 user, 0.00 sys, 0.00 elapsed, 349712.0 kbytes WARNING (LBCLV-5576): Ignoring duplicate layer-generation request of RF (id 62) WARNING (LBCLV-5576): Ignoring duplicate layer-generation request of ind (id 64) WARNING (LBCLV-5576): Ignoring duplicate layer-generation request of transmission1 (id 63) WARNING (LBCLV-5576): Ignoring duplicate layer-generation request of ind (id 64) WARNING (LBCLV-5576): Ignoring duplicate layer-generation request of RF (id 62) Processing RF 0 shapes 0.0 sec. Processing RF 0 shapes 0.0 sec. Processing ind 0 shapes 0.0 sec. Processing transmission1 0 shapes 0.0 sec. Processing ind 0 shapes 0.0 sec. Processing RF 0 shapes 0.0 sec. sort edges took 0.06 user, 0.33 sys, 0.00 elapsed, 4404.0 kbytes sort labels took 0.00 user, 0.01 sys, 0.00 elapsed, 4316.0 kbytes sort edges and labels took 0.13 user, 0.38 sys, 1.00 elapsed, 349452.0 kbytes vdbToRcx System Usage: Elapsed: 2 seconds. CPU: 0.6 seconds Memory 235 Meg GOALIE2DIR=/software/Cadence/PEV111/tools.lnx86/extraction/bin/32bit/ export GOALIE2DIR geom nfet_dev_Device_20 nfet_sd - nfet_dev_Device_20,10,i,1 geom pfet_dev_Device_41 pfet_sd - pfet_dev_Device_41,10,i,1 #==========================================================# # Generate power list #==========================================================# cat global.net > power_list #==========================================================# # Create ports for abutment #==========================================================# geom -C pc_resistive - pc_resistive,1,i,1 geom -C pc_model - pc_model,1,i,1 inter pc_resistive pc_model -t pc_resistive_pc_model_butt:edge /bin/mv -f nw nw_orig epick nw_orig nw /bin/mv -f substrate substrate_orig epick substrate_orig substrate /bin/mv -f substrate_text substrate_text_orig epick substrate_text_orig substrate_text /bin/mv -f subs_sti subs_sti_orig epick subs_sti_orig subs_sti #==========================================================# # Ensure vias do not extend beyond routing #==========================================================# geom -V pc_resistive pc_model - pc_resistive_pc_model_ovia,11,i,1 geom took 0.00 user, 0.00 sys, 0.00 elapsed, 6300.0 kbytes geom -V pc_resistive pc_resistive_pc_model_butt - pc_resistive_pc_resistive_pc_model_butt_ovia,11,i,1 geom took 0.00 user, 0.00 sys, 0.00 elapsed, 6296.0 kbytes geom -V pc_model pc_resistive_pc_model_butt - pc_model_pc_resistive_pc_model_butt_ovia,11,i,1 geom took 0.00 user, 0.00 sys, 0.00 elapsed, 6296.0 kbytes geom -V nd_via nd_comp nfet_sd - nd_via,111,i,2 geom took 0.00 user, 0.00 sys, 0.00 elapsed, 6312.0 kbytes geom -V pd_via pd_comp pfet_sd - pd_via,111,i,2 geom took 0.00 user, 0.00 sys, 0.00 elapsed, 6312.0 kbytes geom -V GateCon Gate pc_model - GateCon_Gate_pc_model,111,i,2 geom took 0.00 user, 0.00 sys, 0.00 elapsed, 6312.0 kbytes geom -V GateCon Gate pc_resistive - GateCon_Gate_pc_resistive,111,i,2 geom took 0.00 user, 0.00 sys, 0.00 elapsed, 6312.0 kbytes geom -V GateCon pc_model pc_resistive - GateCon_pc_model_pc_resistive,111,i,2 geom took 0.00 user, 0.00 sys, 0.00 elapsed, 6312.0 kbytes geom -V LVgateCon LVgate Gate - LVgateCon,111,i,2 geom took 0.00 user, 0.00 sys, 0.00 elapsed, 6312.0 kbytes geom -V ca4 m1_resistive pc_model - ca4_m1_resistive_pc_model,111,i,2 geom took 0.00 user, 0.00 sys, 0.00 elapsed, 6312.0 kbytes geom -V ca4 m1_resistive pc_resistive - ca4_m1_resistive_pc_resistive,111,i,2 geom took 0.00 user, 0.00 sys, 0.00 elapsed, 6312.0 kbytes geom -V ca4 m1_resistive pfet_sd - ca4_m1_resistive_pfet_sd,111,i,2 geom took 0.00 user, 0.00 sys, 0.00 elapsed, 6312.0 kbytes geom -V ca4 m1_resistive nfet_sd - ca4_m1_resistive_nfet_sd,111,i,2 geom took 0.00 user, 0.00 sys, 0.00 elapsed, 6312.0 kbytes geom -V ca4 pc_model pc_resistive - ca4_pc_model_pc_resistive,111,i,2 geom took 0.00 user, 0.00 sys, 0.00 elapsed, 6312.0 kbytes geom -V ca4 pc_model pfet_sd - ca4_pc_model_pfet_sd,111,i,2 geom took 0.00 user, 0.00 sys, 0.00 elapsed, 6312.0 kbytes geom -V ca4 pc_model nfet_sd - ca4_pc_model_nfet_sd,111,i,2 geom took 0.00 user, 0.00 sys, 0.00 elapsed, 6312.0 kbytes geom -V ca4 pc_resistive pfet_sd - ca4_pc_resistive_pfet_sd,111,i,2 geom took 0.00 user, 0.00 sys, 0.00 elapsed, 6312.0 kbytes geom -V ca4 pc_resistive nfet_sd - ca4_pc_resistive_nfet_sd,111,i,2 geom took 0.00 user, 0.00 sys, 0.00 elapsed, 6312.0 kbytes geom -V ca4 pfet_sd nfet_sd - ca4_pfet_sd_nfet_sd,111,i,2 geom took 0.00 user, 0.00 sys, 0.00 elapsed, 6312.0 kbytes geom -V substrate substrate_text - substrate_substrate_text_ovia,11,i,1 geom took 0.00 user, 0.00 sys, 0.00 elapsed, 6208.0 kbytes geom -V subs_sti substrate - subs_sti_substrate_ovia,11,i,1 geom took 0.00 user, 0.00 sys, 0.00 elapsed, 6208.0 kbytes /bin/mv -f nw_orig nw /bin/mv -f substrate_orig substrate /bin/mv -f substrate_text_orig substrate_text /bin/mv -f subs_sti_orig subs_sti #==========================================================# # Flatten net file, routing, via and device layers #==========================================================# SAVEDIR=`beginFlattenInputs` beginFlattenInputsexport SAVEDIR /bin/mv -f NET h_NET flatnet -V -li -h '/' h_NET NET flatnet took 0.00 user, 0.00 sys, 0.00 elapsed, 6048.0 kbytes netprint -V -N1 power_list:power_list_nums NET flattenTransistorData nfet_dev_Device_20 meters flattub took 0.00 user, 0.01 sys, 0.00 elapsed, 6024.0 kbytes flatnet took 0.00 user, 0.00 sys, 1.00 elapsed, 6048.0 kbytes flattrans took 0.00 user, 0.01 sys, 0.00 elapsed, 6068.0 kbytes flattenTransistorData pfet_dev_Device_41 meters flattub took 0.00 user, 0.01 sys, 0.00 elapsed, 6024.0 kbytes flatnet took 0.00 user, 0.00 sys, 0.00 elapsed, 6048.0 kbytes flattrans took 0.00 user, 0.01 sys, 0.00 elapsed, 6068.0 kbytes flattenDiodeData nwdiode_dev1_Device_331 meters flattub took 0.00 user, 0.01 sys, 0.00 elapsed, 6024.0 kbytes flatnet took 0.00 user, 0.00 sys, 0.00 elapsed, 6048.0 kbytes flattrans took 0.00 user, 0.00 sys, 0.00 elapsed, 6068.0 kbytes flattenLayers -m GateCon ca4 m1_resistive pc_model pc_resistive nfet_sd \ pfet_sd nw substrate pc_resistive_pc_model_ovia \ pc_resistive_pc_resistive_pc_model_butt_ovia \ pc_resistive_pc_model_butt pc_model_pc_resistive_pc_model_butt_ovia \ nd_via nd_comp pd_via pd_comp GateCon_Gate_pc_model Gate \ GateCon_Gate_pc_resistive GateCon_pc_model_pc_resistive LVgateCon \ LVgate ca4_m1_resistive_pc_model ca4_m1_resistive_pc_resistive \ ca4_m1_resistive_pfet_sd ca4_m1_resistive_nfet_sd \ ca4_pc_model_pc_resistive ca4_pc_model_pfet_sd ca4_pc_model_nfet_sd \ ca4_pc_resistive_pfet_sd ca4_pc_resistive_nfet_sd ca4_pfet_sd_nfet_sd \ substrate_substrate_text_ovia substrate_text subs_sti_substrate_ovia \ subs_sti well flattub took 0.12 user, 0.34 sys, 1.00 elapsed, 6060.0 kbytes endFlattenInputs #==========================================================# # Initialize CAP_GROUND variable #==========================================================# cat < sch_cap_ground gnd! ENDCAT sch2lay -a -r /home/zheng072/zy_senser/inverter_test/inverter_test.gnx -rd /home/zheng072/zy_senser/inverter_test/inverter_test.gdx sch_cap_ground lay_cap_ground WARNING (SCHLAY-173006): Unsuccessful translation for gnd! in subckt inverter_test CAP_GROUND=`findCapGround -gfn lay_cap_ground -l substrate NET` findCapGround -gfn lay_cap_ground -l substrate NETecho "CAP_GROUND=" ${CAP_GROUND} CAP_GROUND= 7 export CAP_GROUND #==========================================================# # Generate layer map file for resistance extraction #==========================================================# cat < p2elayermapfile M1 p_rm1_resistive,np_rm1_resistive PC p_rpc_model,p_rpc_resistive,np_rpc_model,np_rpc_resistive ENDCAT #==========================================================# # Segregate interconnect into resistive and non-resistive #==========================================================# selectNetsByNumber power_list_nums Gate p_rGate np_rGate epick took 0.00 user, 0.00 sys, 0.00 elapsed, 5920.0 kbytes epick took 0.00 user, 0.00 sys, 0.00 elapsed, 5920.0 kbytes selectNetsByNumber power_list_nums LVgate p_rLVgate np_rLVgate epick took 0.00 user, 0.00 sys, 0.00 elapsed, 5920.0 kbytes epick took 0.00 user, 0.00 sys, 0.00 elapsed, 5920.0 kbytes selectNetsByNumber power_list_nums m1_resistive p_rm1_resistive np_rm1_resistive epick took 0.00 user, 0.00 sys, 0.00 elapsed, 5920.0 kbytes epick took 0.00 user, 0.00 sys, 0.00 elapsed, 5920.0 kbytes selectNetsByNumber power_list_nums nd_comp p_rnd_comp np_rnd_comp epick took 0.00 user, 0.00 sys, 0.00 elapsed, 5920.0 kbytes epick took 0.00 user, 0.00 sys, 0.00 elapsed, 5920.0 kbytes selectNetsByNumber power_list_nums nfet_sd p_rnfet_sd np_rnfet_sd epick took 0.00 user, 0.00 sys, 0.00 elapsed, 5920.0 kbytes epick took 0.00 user, 0.00 sys, 0.00 elapsed, 5920.0 kbytes selectNetsByNumber power_list_nums nw p_rnw np_rnw epick took 0.00 user, 0.00 sys, 0.00 elapsed, 5920.0 kbytes epick took 0.00 user, 0.00 sys, 0.00 elapsed, 5920.0 kbytes selectNetsByNumber power_list_nums pc_model p_rpc_model np_rpc_model epick took 0.00 user, 0.00 sys, 0.00 elapsed, 5920.0 kbytes epick took 0.00 user, 0.00 sys, 0.00 elapsed, 5920.0 kbytes selectNetsByNumber power_list_nums pc_resistive p_rpc_resistive np_rpc_resistive epick took 0.00 user, 0.00 sys, 0.00 elapsed, 5920.0 kbytes epick took 0.00 user, 0.00 sys, 0.00 elapsed, 5920.0 kbytes selectNetsByNumber power_list_nums pc_resistive_pc_model_butt p_rpc_resistive_pc_model_butt np_rpc_resistive_pc_model_butt epick took 0.00 user, 0.00 sys, 0.00 elapsed, 5920.0 kbytes epick took 0.00 user, 0.00 sys, 0.00 elapsed, 5920.0 kbytes selectNetsByNumber power_list_nums pd_comp p_rpd_comp np_rpd_comp epick took 0.00 user, 0.00 sys, 0.00 elapsed, 5920.0 kbytes epick took 0.00 user, 0.00 sys, 0.00 elapsed, 5920.0 kbytes selectNetsByNumber power_list_nums pfet_sd p_rpfet_sd np_rpfet_sd epick took 0.00 user, 0.00 sys, 0.00 elapsed, 5920.0 kbytes epick took 0.00 user, 0.00 sys, 0.00 elapsed, 5920.0 kbytes selectNetsByNumber power_list_nums subs_sti p_rsubs_sti np_rsubs_sti epick took 0.00 user, 0.00 sys, 0.00 elapsed, 5920.0 kbytes epick took 0.00 user, 0.00 sys, 0.00 elapsed, 5920.0 kbytes selectNetsByNumber power_list_nums substrate p_rsubstrate np_rsubstrate epick took 0.00 user, 0.00 sys, 0.00 elapsed, 5920.0 kbytes epick took 0.00 user, 0.00 sys, 0.00 elapsed, 5920.0 kbytes selectNetsByNumber power_list_nums substrate_text p_rsubstrate_text np_rsubstrate_text epick took 0.00 user, 0.00 sys, 0.00 elapsed, 5920.0 kbytes epick took 0.00 user, 0.00 sys, 0.00 elapsed, 5920.0 kbytes selectNetsByNumber power_list_nums well p_rwell np_rwell epick took 0.00 user, 0.00 sys, 0.00 elapsed, 5920.0 kbytes epick took 0.00 user, 0.00 sys, 0.00 elapsed, 5920.0 kbytes selectNetsByNumber power_list_nums ca4_m1_resistive_pc_resistive p_rca4_m1_resistive_pc_resistive np_rca4_m1_resistive_pc_resistive epick took 0.00 user, 0.00 sys, 0.00 elapsed, 5920.0 kbytes epick took 0.00 user, 0.00 sys, 0.00 elapsed, 5920.0 kbytes #==========================================================# # Create resistor cut regions between resistive # interconnect levels #==========================================================# mergevia -V -tech \ /home/zheng072/IBM_7RF_PDK/IBM_PDK/cmrf7sf/V1.9.0.2ML/Assura/QRC/7LM \ -unitarea 0.0484 np_rca4_m1_resistive_pc_resistive \ rca4_m1_resistive_pc_resistive - np_rm1_resistive np_rpc_resistive mergevia took 0.00 user, 0.00 sys, 0.00 elapsed, 21568.0 kbytes #==========================================================# # Create resistive interconnect MOSFET terminals #==========================================================# #==========================================================# # Prepare non-resistive text layers #==========================================================# flatlabel -V -tc -F RX_pin_text RX_pin_text_nr_labs INFO (FLTLBL-89003): exec labsort -V RX_pin_text_nr_labs sort labels took 0.00 user, 0.00 sys, 0.00 elapsed, 4156.0 kbytes flatlabel took 0.00 user, 0.00 sys, 0.00 elapsed, 5892.0 kbytes flatlabel -V -tc -F PC_pin_text PC_pin_text_nr_labs INFO (FLTLBL-89003): exec labsort -V PC_pin_text_nr_labs sort labels took 0.00 user, 0.00 sys, 0.00 elapsed, 4156.0 kbytes flatlabel took 0.00 user, 0.00 sys, 0.00 elapsed, 5892.0 kbytes #==========================================================# # Assign net numbers to cut regions #==========================================================# /bin/mv -f np_rnw np_rnw.conn_orig createEmptyLayer np_rnw /bin/mv -f np_rsubstrate np_rsubstrate.conn_orig createEmptyLayer np_rsubstrate /bin/mv -f np_rsubs_sti np_rsubs_sti.conn_orig createEmptyLayer np_rsubs_sti /bin/mv -f np_rsubstrate_text np_rsubstrate_text.conn_orig createEmptyLayer np_rsubstrate_text connect -V -relocate NET np_rnfet_sd:np_rnfet_sd.conn \ np_rpfet_sd:np_rpfet_sd.conn np_rGate:np_rGate.conn \ np_rLVgate:np_rLVgate.conn np_rnd_comp:np_rnd_comp.conn \ np_rnw:np_rnw.conn np_rsubstrate:np_rsubstrate.conn \ np_rpc_resistive_pc_model_butt:np_rpc_resistive_pc_model_butt.conn \ np_rpd_comp:np_rpd_comp.conn np_rsubs_sti:np_rsubs_sti.conn \ np_rsubstrate_text:np_rsubstrate_text.conn np_rwell:np_rwell.conn \ np_rpc_model:np_rpc_model.conn rca4_m1_resistive_pc_resistive - \ pc_model_pc_resistive_pc_model_butt_ovia,13,8 nd_via,5,1 pd_via,9,2 \ GateCon_Gate_pc_model,3,13 LVgateCon,4,3 ca4_pc_model_pfet_sd,13,2 \ ca4_pc_model_nfet_sd,13,1 ca4_pfet_sd_nfet_sd,2,1 \ substrate_substrate_text_ovia,7,11 subs_sti_substrate_ovia,10,7 - \ RX_pin_text_nr_labs,1,2 PC_pin_text_nr_labs,3,13 relocate took 0.01 user, 0.11 sys, 0.00 elapsed, 112392.0 kbytes PC_pin_text_nr_labs: connect took 0.00 user, 0.00 sys, 0.00 elapsed, 112392.0 kbytes #==========================================================# # Assign net numbers to resistor vias #==========================================================# geom -V pc_resistive_pc_model_ovia np_rpc_model.conn - tmp_rpc_resistive_pc_model_ovia,11,i,2 geom took 0.00 user, 0.00 sys, 0.00 elapsed, 6148.0 kbytes mergevia -V -i -tech \ /home/zheng072/IBM_7RF_PDK/IBM_PDK/cmrf7sf/V1.9.0.2ML/Assura/QRC/7LM \ -cnt tmp_rpc_resistive_pc_model_ovia rpc_resistive_pc_model_ovia - \ np_rpc_resistive np_rpc_model mergevia took 0.00 user, 0.00 sys, 0.00 elapsed, 21568.0 kbytes /bin/rm -f tmp_rpc_resistive_pc_model_ovia geom -V pc_resistive_pc_resistive_pc_model_butt_ovia np_rpc_resistive_pc_model_butt.conn - tmp_rpc_resistive_pc_resistive_pc_model_butt_ovia,11,i,2 geom took 0.00 user, 0.00 sys, 0.00 elapsed, 6148.0 kbytes [ -r rpc_resistive_pc_resistive_pc_model_butt_ovia ] && /bin/rm -f rpc_resistive_pc_resistive_pc_model_butt_ovia /bin/mv -f tmp_rpc_resistive_pc_resistive_pc_model_butt_ovia rpc_resistive_pc_resistive_pc_model_butt_ovia geom -V GateCon_Gate_pc_resistive np_rGate.conn - tmp_rGateCon_Gate_pc_resistive,11,i,2 geom took 0.00 user, 0.00 sys, 0.00 elapsed, 6148.0 kbytes mergevia -V -i -tech \ /home/zheng072/IBM_7RF_PDK/IBM_PDK/cmrf7sf/V1.9.0.2ML/Assura/QRC/7LM \ -cnt tmp_rGateCon_Gate_pc_resistive rGateCon_Gate_pc_resistive - \ np_rpc_resistive np_rGate mergevia took 0.00 user, 0.00 sys, 0.00 elapsed, 21568.0 kbytes /bin/rm -f tmp_rGateCon_Gate_pc_resistive geom -V GateCon_pc_model_pc_resistive np_rpc_model.conn - tmp_rGateCon_pc_model_pc_resistive,11,i,2 geom took 0.00 user, 0.00 sys, 0.00 elapsed, 6148.0 kbytes mergevia -V -i -tech \ /home/zheng072/IBM_7RF_PDK/IBM_PDK/cmrf7sf/V1.9.0.2ML/Assura/QRC/7LM \ -cnt tmp_rGateCon_pc_model_pc_resistive \ rGateCon_pc_model_pc_resistive - np_rpc_resistive np_rpc_model mergevia took 0.00 user, 0.00 sys, 0.00 elapsed, 21568.0 kbytes /bin/rm -f tmp_rGateCon_pc_model_pc_resistive geom -V ca4_m1_resistive_pc_model np_rpc_model.conn - tmp_rca4_m1_resistive_pc_model,11,i,2 geom took 0.00 user, 0.00 sys, 0.00 elapsed, 6148.0 kbytes mergevia -V -i -tech \ /home/zheng072/IBM_7RF_PDK/IBM_PDK/cmrf7sf/V1.9.0.2ML/Assura/QRC/7LM \ -unitarea 0.0484 tmp_rca4_m1_resistive_pc_model \ rca4_m1_resistive_pc_model - np_rm1_resistive np_rpc_model mergevia took 0.00 user, 0.00 sys, 0.00 elapsed, 21568.0 kbytes /bin/rm -f tmp_rca4_m1_resistive_pc_model geom -V ca4_m1_resistive_pfet_sd np_rpfet_sd.conn - tmp_rca4_m1_resistive_pfet_sd,11,i,2 geom took 0.00 user, 0.00 sys, 0.00 elapsed, 6148.0 kbytes mergevia -V -i -tech \ /home/zheng072/IBM_7RF_PDK/IBM_PDK/cmrf7sf/V1.9.0.2ML/Assura/QRC/7LM \ -unitarea 0.0484 tmp_rca4_m1_resistive_pfet_sd \ rca4_m1_resistive_pfet_sd - np_rm1_resistive np_rpfet_sd mergevia took 0.04 user, 0.00 sys, 0.00 elapsed, 29764.0 kbytes /bin/rm -f tmp_rca4_m1_resistive_pfet_sd geom -V ca4_m1_resistive_nfet_sd np_rnfet_sd.conn - tmp_rca4_m1_resistive_nfet_sd,11,i,2 geom took 0.00 user, 0.00 sys, 0.00 elapsed, 6148.0 kbytes mergevia -V -i -tech \ /home/zheng072/IBM_7RF_PDK/IBM_PDK/cmrf7sf/V1.9.0.2ML/Assura/QRC/7LM \ -unitarea 0.0484 tmp_rca4_m1_resistive_nfet_sd \ rca4_m1_resistive_nfet_sd - np_rm1_resistive np_rnfet_sd mergevia took 0.04 user, 0.00 sys, 0.00 elapsed, 29764.0 kbytes /bin/rm -f tmp_rca4_m1_resistive_nfet_sd geom -V ca4_pc_model_pc_resistive np_rpc_model.conn - tmp_rca4_pc_model_pc_resistive,11,i,2 geom took 0.00 user, 0.00 sys, 0.00 elapsed, 6148.0 kbytes mergevia -V -i -tech \ /home/zheng072/IBM_7RF_PDK/IBM_PDK/cmrf7sf/V1.9.0.2ML/Assura/QRC/7LM \ -unitarea 0.0484 tmp_rca4_pc_model_pc_resistive \ rca4_pc_model_pc_resistive - np_rpc_resistive np_rpc_model mergevia took 0.00 user, 0.00 sys, 0.00 elapsed, 21568.0 kbytes /bin/rm -f tmp_rca4_pc_model_pc_resistive geom -V ca4_pc_resistive_pfet_sd np_rpfet_sd.conn - tmp_rca4_pc_resistive_pfet_sd,11,i,2 geom took 0.00 user, 0.00 sys, 0.00 elapsed, 6148.0 kbytes mergevia -V -i -tech \ /home/zheng072/IBM_7RF_PDK/IBM_PDK/cmrf7sf/V1.9.0.2ML/Assura/QRC/7LM \ -unitarea 0.0484 tmp_rca4_pc_resistive_pfet_sd \ rca4_pc_resistive_pfet_sd - np_rpc_resistive np_rpfet_sd mergevia took 0.00 user, 0.00 sys, 0.00 elapsed, 21568.0 kbytes /bin/rm -f tmp_rca4_pc_resistive_pfet_sd geom -V ca4_pc_resistive_nfet_sd np_rnfet_sd.conn - tmp_rca4_pc_resistive_nfet_sd,11,i,2 geom took 0.00 user, 0.00 sys, 0.00 elapsed, 6148.0 kbytes mergevia -V -i -tech \ /home/zheng072/IBM_7RF_PDK/IBM_PDK/cmrf7sf/V1.9.0.2ML/Assura/QRC/7LM \ -unitarea 0.0484 tmp_rca4_pc_resistive_nfet_sd \ rca4_pc_resistive_nfet_sd - np_rpc_resistive np_rnfet_sd mergevia took 0.00 user, 0.00 sys, 0.00 elapsed, 21568.0 kbytes /bin/rm -f tmp_rca4_pc_resistive_nfet_sd #==========================================================# # Assign net numbers to nonresistive layers #==========================================================# epick -V -reo -e rpc_resistive_pc_model_ovia -e \ rpc_resistive_pc_resistive_pc_model_butt_ovia -e \ rGateCon_Gate_pc_resistive -e rGateCon_pc_model_pc_resistive -e \ rca4_m1_resistive_pc_model -e rca4_m1_resistive_pfet_sd -e \ rca4_m1_resistive_nfet_sd -e rca4_pc_model_pc_resistive -e \ rca4_pc_resistive_pfet_sd -e rca4_pc_resistive_nfet_sd \ np_rpc_model.conn tmp_pc_model epick took 0.00 user, 0.00 sys, 0.00 elapsed, 5920.0 kbytes epick -V -reo -e tmp_pc_model -c np_rpc_model.conn tmp1_pc_model epick took 0.00 user, 0.00 sys, 0.00 elapsed, 5920.0 kbytes geom -V tmp1_pc_model np_rpc_model - tmp1_pc_model,11,i,2 geom took 0.00 user, 0.00 sys, 0.00 elapsed, 6148.0 kbytes geom -V tmp_pc_model,tmp1_pc_model - np_rpc_model,1,i,1 geom took 0.00 user, 0.00 sys, 0.00 elapsed, 6140.0 kbytes /bin/rm -f tmp_pc_model tmp1_pc_model epick -V -reo -e rpc_resistive_pc_model_ovia -e \ rpc_resistive_pc_resistive_pc_model_butt_ovia -e \ rGateCon_Gate_pc_resistive -e rGateCon_pc_model_pc_resistive -e \ rca4_m1_resistive_pc_model -e rca4_m1_resistive_pfet_sd -e \ rca4_m1_resistive_nfet_sd -e rca4_pc_model_pc_resistive -e \ rca4_pc_resistive_pfet_sd -e rca4_pc_resistive_nfet_sd \ np_rnfet_sd.conn tmp_nfet_sd epick took 0.00 user, 0.00 sys, 0.00 elapsed, 5920.0 kbytes epick -V -reo -e tmp_nfet_sd -c np_rnfet_sd.conn tmp1_nfet_sd epick took 0.00 user, 0.00 sys, 0.00 elapsed, 5920.0 kbytes geom -V tmp1_nfet_sd np_rnfet_sd - tmp1_nfet_sd,11,i,2 geom took 0.00 user, 0.00 sys, 0.00 elapsed, 6148.0 kbytes geom -V tmp_nfet_sd,tmp1_nfet_sd - np_rnfet_sd,1,i,1 geom took 0.00 user, 0.00 sys, 0.00 elapsed, 6140.0 kbytes /bin/rm -f tmp_nfet_sd tmp1_nfet_sd epick -V -reo -e rpc_resistive_pc_model_ovia -e \ rpc_resistive_pc_resistive_pc_model_butt_ovia -e \ rGateCon_Gate_pc_resistive -e rGateCon_pc_model_pc_resistive -e \ rca4_m1_resistive_pc_model -e rca4_m1_resistive_pfet_sd -e \ rca4_m1_resistive_nfet_sd -e rca4_pc_model_pc_resistive -e \ rca4_pc_resistive_pfet_sd -e rca4_pc_resistive_nfet_sd \ np_rpfet_sd.conn tmp_pfet_sd epick took 0.00 user, 0.00 sys, 0.00 elapsed, 5920.0 kbytes epick -V -reo -e tmp_pfet_sd -c np_rpfet_sd.conn tmp1_pfet_sd epick took 0.00 user, 0.00 sys, 0.00 elapsed, 5920.0 kbytes geom -V tmp1_pfet_sd np_rpfet_sd - tmp1_pfet_sd,11,i,2 geom took 0.00 user, 0.00 sys, 0.00 elapsed, 6148.0 kbytes geom -V tmp_pfet_sd,tmp1_pfet_sd - np_rpfet_sd,1,i,1 geom took 0.00 user, 0.00 sys, 0.00 elapsed, 6140.0 kbytes /bin/rm -f tmp_pfet_sd tmp1_pfet_sd epick -V -reo -e rpc_resistive_pc_model_ovia -e \ rpc_resistive_pc_resistive_pc_model_butt_ovia -e \ rGateCon_Gate_pc_resistive -e rGateCon_pc_model_pc_resistive -e \ rca4_m1_resistive_pc_model -e rca4_m1_resistive_pfet_sd -e \ rca4_m1_resistive_nfet_sd -e rca4_pc_model_pc_resistive -e \ rca4_pc_resistive_pfet_sd -e rca4_pc_resistive_nfet_sd np_rnw.conn \ tmp_nw epick took 0.00 user, 0.00 sys, 0.00 elapsed, 5920.0 kbytes epick -V -reo -e tmp_nw -c np_rnw.conn tmp1_nw epick took 0.00 user, 0.00 sys, 0.00 elapsed, 5920.0 kbytes geom -V tmp1_nw np_rnw - tmp1_nw,11,i,2 geom took 0.00 user, 0.00 sys, 0.00 elapsed, 6148.0 kbytes geom -V tmp_nw,tmp1_nw - np_rnw,1,i,1 geom took 0.00 user, 0.00 sys, 0.00 elapsed, 6136.0 kbytes /bin/rm -f tmp_nw tmp1_nw epick -V -reo -e rpc_resistive_pc_model_ovia -e \ rpc_resistive_pc_resistive_pc_model_butt_ovia -e \ rGateCon_Gate_pc_resistive -e rGateCon_pc_model_pc_resistive -e \ rca4_m1_resistive_pc_model -e rca4_m1_resistive_pfet_sd -e \ rca4_m1_resistive_nfet_sd -e rca4_pc_model_pc_resistive -e \ rca4_pc_resistive_pfet_sd -e rca4_pc_resistive_nfet_sd \ np_rsubstrate.conn tmp_substrate epick took 0.00 user, 0.00 sys, 0.00 elapsed, 5920.0 kbytes epick -V -reo -e tmp_substrate -c np_rsubstrate.conn tmp1_substrate epick took 0.00 user, 0.00 sys, 0.00 elapsed, 5920.0 kbytes geom -V tmp1_substrate np_rsubstrate - tmp1_substrate,11,i,2 geom took 0.00 user, 0.00 sys, 0.00 elapsed, 6148.0 kbytes geom -V tmp_substrate,tmp1_substrate - np_rsubstrate,1,i,1 geom took 0.00 user, 0.00 sys, 0.00 elapsed, 6136.0 kbytes /bin/rm -f tmp_substrate tmp1_substrate #==========================================================# # Generate resistor command file #==========================================================# cat < res.mod np_rm1_resistive,0.084,M1(j) +:T,0.0033,0.0,25 +:t(0.005,0.0,25),(a:0.0484:11.0),(a:0.0484:11.0),(a:0.0484:11.0),rca4_m1_resistive_pc_model +:t(0.005,0.0,25),(a:0.0484:11.0),(a:0.0484:11.0),(a:0.0484:11.0),rca4_m1_resistive_pc_resistive +:t(0.005,0.0,25),(a:0.0484:11.0),(a:0.0484:11.0),(a:0.0484:11.0),rca4_m1_resistive_pfet_sd +:t(0.005,0.0,25),(a:0.0484:11.0),(a:0.0484:11.0),(a:0.0484:11.0),rca4_m1_resistive_nfet_sd np_rpc_resistive(PC),8.0,PC(k_1_) +:T,0.0032,0.0,25 +:t(0.005,0.0,25),(a:0.0484:11.0),(a:0.0484:11.0),(a:0.0484:11.0),rca4_pc_model_pc_resistive +:t(0.005,0.0,25),(a:0.0484:11.0),(a:0.0484:11.0),(a:0.0484:11.0),rca4_pc_resistive_pfet_sd +:t(0.005,0.0,25),(a:0.0484:11.0),(a:0.0484:11.0),(a:0.0484:11.0),rca4_pc_resistive_nfet_sd rca4_m1_resistive_nfet_sd,11.0,ca4(l) rca4_m1_resistive_pc_model,11.0,ca4(l_1_) rca4_m1_resistive_pc_resistive,11.0,ca4(l_2_) rca4_m1_resistive_pfet_sd,11.0,ca4(l_3_) rca4_pc_model_pc_resistive,11.0,ca4(l_5_) rca4_pc_resistive_nfet_sd,11.0,ca4(l_7_) rca4_pc_resistive_pfet_sd,11.0,ca4(l_8_) ENDCAT #==========================================================# # Process text layers #==========================================================# flatlabel -V -tc -F PC_pin_text,M1_pin_text L1T0,L2T0 INFO (FLTLBL-89003): exec labsort -V L1T0 sort labels took 0.00 user, 0.00 sys, 0.00 elapsed, 4156.0 kbytes INFO (FLTLBL-89003): exec labsort -V L2T0 sort labels took 0.00 user, 0.00 sys, 0.00 elapsed, 4156.0 kbytes flatlabel took 0.00 user, 0.00 sys, 0.00 elapsed, 5892.0 kbytes /bin/mv -f np_rnw.conn_orig np_rnw /bin/mv -f np_rsubstrate.conn_orig np_rsubstrate /bin/mv -f np_rsubs_sti.conn_orig np_rsubs_sti /bin/mv -f np_rsubstrate_text.conn_orig np_rsubstrate_text #==========================================================# # Parasitic R extraction with default precision #==========================================================# rex -V -m -pd -I'#' -l RLCTERMS -tech \ /home/zheng072/IBM_7RF_PDK/IBM_PDK/cmrf7sf/V1.9.0.2ML/Assura/QRC/7LM \ -medge np_rnw,np_rsubstrate,np_rsubs_sti,np_rsubstrate_text -lexclude \ PC -map p2elayermapfile -wee p2elayermapfile -N NET -e -e2 -rP \ res.mod np_rpc_resistive::pc_resistive_cut np_rm1_resistive::M1_cut - \ rpc_resistive_pc_model_ovia,1 \ rpc_resistive_pc_resistive_pc_model_butt_ovia,1 \ rGateCon_Gate_pc_resistive,1 rGateCon_pc_model_pc_resistive,1 \ rca4_m1_resistive_pc_model,2,T rca4_m1_resistive_pc_resistive,1,2,T \ rca4_m1_resistive_pfet_sd,2,T rca4_m1_resistive_nfet_sd,2,T \ rca4_pc_model_pc_resistive,1,T rca4_pc_resistive_pfet_sd,1,T \ rca4_pc_resistive_nfet_sd,1,T - L1T0,1,I L2T0,2,I body label 'vdd' from 'L2T0' at (9.6300,-6.7800) missed body body label 'gnd' from 'L2T0' at (9.6400,-10.5000) missed body INFO (REX-163527): 2 body labels from 'L2T0' missed body rex took 0.02 user, 0.07 sys, 1.00 elapsed, 34292.0 kbytes /bin/cp -f np_rnw np_rnw.conn /bin/cp -f np_rsubstrate np_rsubstrate.conn /bin/cp -f np_rsubs_sti np_rsubs_sti.conn /bin/cp -f np_rsubstrate_text np_rsubstrate_text.conn #==========================================================# # Reconnect MOSFET devices #==========================================================# reconnect -V -n NET -se2 mwires.res -t \ nfet_dev_Device_20.trans:nfet_dev_Device_20.transr nfet_dev_Device_20 \ np_rnfet_sd,np_rpc_model,np_rsubstrate -t \ pfet_dev_Device_41.trans:pfet_dev_Device_41.transr pfet_dev_Device_41 \ np_rpfet_sd,np_rpc_model,np_rnw reconnect took 0.01 user, 0.01 sys, 0.00 elapsed, 6160.0 kbytes changeTransFileNameAP nfet_dev_Device_20.trans nfet_dev_Device_20.transr changeTransFileNameAP pfet_dev_Device_41.trans pfet_dev_Device_41.transr #==========================================================# # Reconnect DIODE devices #==========================================================# createLink np_rnw nwdiode_dev1_Device_331_nw_dvia createLink np_rsubstrate nwdiode_dev1_Device_331_substrate_dvia reconnect -V -se2 dwires.res -n NET -c \ nwdiode_dev1_Device_331.dpax:nwdiode_dev1_Device_331.dpaxr \ nwdiode_dev1_Device_331 \ nwdiode_dev1_Device_331_nw_dvia,nwdiode_dev1_Device_331_substrate_dvia reconnect took 0.00 user, 0.00 sys, 0.00 elapsed, 6160.0 kbytes #==========================================================# # Form capacitance layers for resistive process layers #==========================================================# geom -V -i p_rpc_model,p_rpc_resistive,np_rpc_model,np_rpc_resistive - so_PC,1,n geom took 0.00 user, 0.00 sys, 0.00 elapsed, 6156.0 kbytes geom -V -i p_rpc_model,p_rpc_resistive,np_rpc_model,np_rpc_resistive - PC,1,n geom took 0.00 user, 0.00 sys, 0.00 elapsed, 6156.0 kbytes geom -V pc_resistive_cut - PC_cut,1 geom took 0.00 user, 0.00 sys, 0.00 elapsed, 6140.0 kbytes geom -V -i p_rm1_resistive,np_rm1_resistive - so_M1,1,n geom took 0.00 user, 0.00 sys, 0.00 elapsed, 6148.0 kbytes geom -V p_rm1_resistive,np_rm1_resistive - M1,1,i,1 geom took 0.00 user, 0.00 sys, 0.00 elapsed, 6148.0 kbytes #==========================================================# # Form capacitance layers for non-resistive process layers #==========================================================# emerge -V p_rnfet_sd np_rnfet_sd nfet_sd emerge took 0.00 user, 0.00 sys, 0.00 elapsed, 5772.0 kbytes emerge -V p_rpfet_sd np_rpfet_sd pfet_sd emerge took 0.00 user, 0.00 sys, 0.00 elapsed, 5772.0 kbytes grow -V .001 nfet_sd mask grow took 0.00 user, 0.00 sys, 0.00 elapsed, 6144.0 kbytes geom -V pfet_sd mask - pfet_sd,10,i,1 geom took 0.00 user, 0.00 sys, 0.00 elapsed, 6148.0 kbytes geom -V nfet_sd,pfet_sd - RX,1,i,1 geom took 0.00 user, 0.00 sys, 0.00 elapsed, 6148.0 kbytes createEmptyLayer ML createEmptyLayer HT createEmptyLayer QT createEmptyLayer MT createEmptyLayer M5 createEmptyLayer M4 createEmptyLayer M3 createEmptyLayer M2 createEmptyLayer K1 #==========================================================# # Form substrate #==========================================================# geom -V p_rnw,np_rnw - nw,1,i,1 geom took 0.00 user, 0.00 sys, 0.00 elapsed, 6148.0 kbytes geom -V p_rsubstrate,np_rsubstrate - substrate,1,i,1 geom took 0.00 user, 0.00 sys, 0.00 elapsed, 6148.0 kbytes /bin/cp -f substrate substrate.df2 xytoebbox -V -g 48.002 -e ML,HT,QT,MT,M5,M4,M3,M2,K1,M1,PC,RX,nw,substrate xg_substrate xytoebbox took 0.00 user, 0.00 sys, 0.00 elapsed, 4512.0 kbytes grow -V 0.001 substrate g_substrate grow took 0.00 user, 0.00 sys, 0.00 elapsed, 6144.0 kbytes geom -V xg_substrate g_substrate - tmp_substrate,10 geom took 0.00 user, 0.00 sys, 0.00 elapsed, 6148.0 kbytes epick -V -reo -D ${CAP_GROUND} tmp_substrate pick_substrate epick took 0.00 user, 0.00 sys, 0.00 elapsed, 5920.0 kbytes grow -V -m 0.002 substrate g_substrate grow took 0.00 user, 0.00 sys, 0.00 elapsed, 6144.0 kbytes geom took 0.00 user, 0.00 sys, 0.00 elapsed, 6140.0 kbytes stamp -i g_substrate pick_substrate emerge -V pick_substrate substrate tmp1_substrate emerge took 0.00 user, 0.00 sys, 0.00 elapsed, 5772.0 kbytes geom -V tmp1_substrate - substrate,1,i,1 geom took 0.00 user, 0.00 sys, 0.00 elapsed, 6140.0 kbytes /bin/rm -f g_substrate xg_substrate tmp_substrate tmp1_substrate pick_substrate grow -V 0.001 nw g_nw grow took 0.00 user, 0.00 sys, 0.00 elapsed, 6144.0 kbytes geom -V substrate g_nw - substrate,10,i,1 geom took 0.00 user, 0.00 sys, 0.00 elapsed, 6148.0 kbytes geom -V nw,substrate - sti,1,i,1 geom took 0.00 user, 0.00 sys, 0.00 elapsed, 6148.0 kbytes geom -V sti RX - sti,10,i,1 geom took 0.00 user, 0.00 sys, 0.00 elapsed, 6148.0 kbytes geom nfet_dev_Device_20,pfet_dev_Device_41 - qrcgate,1,i,1 #==========================================================# # Create sip/sw3d/cn3d capacitance data files #==========================================================# cat < sip.cmd sip -V -cgnd ${CAP_GROUND} -s -o -sub 2 -mlc PC,M1 -n 3.87 -i 0,3.871 -b \ M1,PC,RX,sti -t M2,M3,M4,M5,MT,QT,HT,ML -j 5 -Maxw 11.61 -p K1,key \ 0,3.87 - K1.sip sip -V -cgnd ${CAP_GROUND} -s -o -sub 2 -mlc M1,K1 -n 2.8 -i 0,2.801 -b \ K1,M1,PC,RX,sti -t M3,M4,M5,MT,QT,HT,ML -j 0.28 -Maxw 4.2 -p M2,key \ 0,2.8 - M2.sip sip -V -cgnd ${CAP_GROUND} -s -o -sub 2 -mlc K1,M2 -n 2.8 -i 0,2.801 -b \ M2,K1,M1,PC,RX,sti -t M4,M5,MT,QT,HT,ML -j 0.28 -Maxw 4.2 -p M3,key \ 0,2.8 - M3.sip sip -V -cgnd ${CAP_GROUND} -s -o -sub 2 -mlc M2,M3 -n 2.8 -i 0,2.801 -b \ M3,M2,K1,M1,PC,RX,sti -t M5,MT,QT,HT,ML -j 0.28 -Maxw 4.2 -p M4,key \ 0,2.8 - M4.sip sip -V -cgnd ${CAP_GROUND} -s -o -sub 2 -mlc M3,M4 -n 4.2 -i 0,4.201 -b \ M4,M3,M2,K1,M1,PC,RX,sti -t MT,QT,HT,ML -j 0.28 -Maxw 4.2 -p M5,key \ 0,4.2 - M5.sip sip -V -cgnd ${CAP_GROUND} -s -o -sub 2 -mlc M4,M5 -n 4.2 -i 0,4.201 -b \ M5,M4,M3,M2,K1,M1,PC,RX,sti -t QT,HT,ML -j 0.28 -Maxw 4.2 -p MT,key \ 0,4.2 - MT.sip sip -V -cgnd ${CAP_GROUND} -s -o -sub 2 -mlc M5,MT -n 19.356 -i 0,19.357 -b \ MT,M5,M4,M3,M2,K1,M1,PC,RX,sti -t HT,ML -j 4 -Maxw 58.068 -p QT,key \ 0,19.356 - QT.sip sip -V -cgnd ${CAP_GROUND} -s -o -sub 2 -mlc M5,MT,QT -n 20.292 -i 0,20.293 \ -b QT,MT,M5,M4,M3,M2,K1,M1,PC,RX,sti -t ML -j 4 -Maxw 60 -p HT,key \ 0,20.292 - HT.sip sip -V -cgnd ${CAP_GROUND} -s -o -sub 2 -mlc M5,MT,QT,HT -n 24 -i 0,24.001 -b \ HT,QT,MT,M5,M4,M3,M2,K1,M1,PC,RX,sti -j 2.4 -Maxw 36 -p ML,key 0,24 - \ ML.sip sip -V -cgnd ${CAP_GROUND} -s -o -sub 2 -cp PC,allGate,RX -n 2.4 -i 0,2.401 \ -b RX,sti -t M1,K1,M2,M3,M4,M5,MT,QT,HT,ML -j 0.18 -Maxw 2.7 -p \ PC,key 0,2.4 - PC.sip sip -V -cgnd ${CAP_GROUND} -s -o -sub 2 -mlc PC -n 2 -i 0,2.001 -b PC,RX,sti \ -t K1,M2,M3,M4,M5,MT,QT,HT,ML -j 0.24 -Maxw 3.6 -p M1,key 0,2 - \ M1.sip sip -V -s -cgnd ${CAP_GROUND} -sub 2 -L3A -h -b \ QT,MT,M5,M4,M3,M2,K1,M1,PC,RX,sti -Maxw 60 -p HT,key,ML,key 0,24,0 - \ HT_ML.sip sip -V -s -cgnd ${CAP_GROUND} -sub 2 -L3A -h -b \ MT,M5,M4,M3,M2,K1,M1,PC,RX,sti -Maxw 58.068 -p QT,key,ML,key 0,24,0 - \ QT_ML.sip sip -V -s -cgnd ${CAP_GROUND} -sub 2 -h -b MT,M5,M4,M3,M2,K1,M1,PC,RX,sti -t \ ML -Maxw 60 -p QT,key,HT,key 0,20.292,0 - QT_HT.sip sip -V -s -cgnd ${CAP_GROUND} -sub 2 -L3A -h -b M5,M4,M3,M2,K1,M1,PC,RX,sti \ -k QT:0,HT:0 -Maxw 36 -p MT,key,ML,key 0,24,0 - MT_ML.sip sip -V -s -cgnd ${CAP_GROUND} -sub 2 -h -b M5,M4,M3,M2,K1,M1,PC,RX,sti -t ML \ -Maxw 60 -p MT,key,HT,key 0,20.292,0 - MT_HT.sip sip -V -s -cgnd ${CAP_GROUND} -sub 2 -h -b M5,M4,M3,M2,K1,M1,PC,RX,sti -t \ HT,ML -Maxw 58.068 -p MT,key,QT,key 0,19.356,0 - MT_QT.sip sip -V -s -cgnd ${CAP_GROUND} -sub 2 -L3A -h -R ML -b \ M4,M3,M2,K1,M1,PC,RX,sti -k MT:0,QT:0,HT:0 -Maxw 36 -p M5,key,ML,key \ 0,24,0 - M5_ML.sip sip -V -s -cgnd ${CAP_GROUND} -sub 2 -h -R HT -b M4,M3,M2,K1,M1,PC,RX,sti -t \ ML -k MT:0,QT:0 -Maxw 60 -p M5,key,HT,key 0,20.292,0 - M5_HT.sip sip -V -s -cgnd ${CAP_GROUND} -sub 2 -h -R QT -b M4,M3,M2,K1,M1,PC,RX,sti -t \ HT,ML -Maxw 58.068 -p M5,key,QT,key 0,19.356,0 - M5_QT.sip sip -V -s -cgnd ${CAP_GROUND} -sub 2 -h -b M4,M3,M2,K1,M1,PC,RX,sti -t \ QT,HT,ML -Maxw 4.2 -p M5,key,MT,key 0,4.2,0 - M5_MT.sip sip -V -s -cgnd ${CAP_GROUND} -sub 2 -L3A -h -R MT -b M3,M2,K1,M1,PC,RX,sti \ -t QT,HT,ML -Maxw 4.2 -p M4,key,MT,key 0,4.2,0 - M4_MT.sip sip -V -s -cgnd ${CAP_GROUND} -sub 2 -h -b M3,M2,K1,M1,PC,RX,sti -t \ MT,QT,HT,ML -Maxw 4.2 -p M4,key,M5,key 0,4.2,0 - M4_M5.sip sip -V -s -cgnd ${CAP_GROUND} -sub 2 -L3A -h -R M5 -b M2,K1,M1,PC,RX,sti -t \ MT,QT,HT,ML -Maxw 4.2 -p M3,key,M5,key 0,4.2,0 - M3_M5.sip sip -V -s -cgnd ${CAP_GROUND} -sub 2 -h -b M2,K1,M1,PC,RX,sti -t \ M5,MT,QT,HT,ML -Maxw 4.2 -p M3,key,M4,key 0,2.8,0 - M3_M4.sip sip -V -s -cgnd ${CAP_GROUND} -sub 2 -L3A -h -R M4 -b K1,M1,PC,RX,sti -t \ M5,MT,QT,HT,ML -Maxw 4.2 -p M2,key,M4,key 0,2.8,0 - M2_M4.sip sip -V -s -cgnd ${CAP_GROUND} -sub 2 -h -b K1,M1,PC,RX,sti -t \ M4,M5,MT,QT,HT,ML -Maxw 4.2 -p M2,key,M3,key 0,2.8,0 - M2_M3.sip sip -V -s -cgnd ${CAP_GROUND} -sub 2 -L3A -h -R M3 -b M1,PC,RX,sti -t \ M4,M5,MT,QT,HT,ML -Maxw 11.61 -p K1,key,M3,key 0,3.87,0 - K1_M3.sip sip -V -s -cgnd ${CAP_GROUND} -sub 2 -h -b M1,PC,RX,sti -t \ M3,M4,M5,MT,QT,HT,ML -Maxw 11.61 -p K1,key,M2,key 0,3.87,0 - \ K1_M2.sip sip -V -s -cgnd ${CAP_GROUND} -sub 2 -L3A -h -R M2 -b PC,RX,sti -t \ M3,M4,M5,MT,QT,HT,ML -Maxw 4.2 -p M1:M1_cut,key,M2,key 0,2.8,0 - \ M1_M2.sip sip -V -s -cgnd ${CAP_GROUND} -sub 2 -h -b PC,RX,sti -t \ M2,M3,M4,M5,MT,QT,HT,ML -Maxw 11.61 -p M1:M1_cut,key,K1,key 0,3.87,0 \ - M1_K1.sip sip -V -s -cgnd ${CAP_GROUND} -sub 2 -L3A -h -R K1 -b RX,sti -t \ M2,M3,M4,M5,MT,QT,HT,ML -k M1:0.33 -Maxw 11.61 -p \ PC:PC_cut,key,K1,key 0,3.87,0 - PC_K1.sip sip -V -s -cgnd ${CAP_GROUND} -sub 2 -h -R M1,PC -b RX,sti -t \ K1,M2,M3,M4,M5,MT,QT,HT,ML -Maxw 3.6 -p PC:PC_cut,key,M1:M1_cut,key \ 0,2.4,0 - PC_M1.sip sw3d -V -cgnd ${CAP_GROUND} -sub 2 -b QT,MT,M5,M4,M3,M2,K1,M1,PC,RX,sti -p \ HT,ML - HT_ML.sw3d sw3d -V -cgnd ${CAP_GROUND} -sub 2 -b MT,M5,M4,M3,M2,K1,M1,PC,RX,sti -t ML -p \ QT,HT - QT_HT.sw3d sw3d -V -cgnd ${CAP_GROUND} -sub 2 -b M5,M4,M3,M2,K1,M1,PC,RX,sti -t HT,ML -p \ MT,QT - MT_QT.sw3d sw3d -V -cgnd ${CAP_GROUND} -sub 2 -b M4,M3,M2,K1,M1,PC,RX,sti -t QT,HT,ML -p \ M5,MT - M5_MT.sw3d sw3d -V -cgnd ${CAP_GROUND} -sub 2 -b M3,M2,K1,M1,PC,RX,sti -t MT,QT,HT,ML -p \ M4,M5 - M4_M5.sw3d sw3d -V -cgnd ${CAP_GROUND} -sub 2 -b M2,K1,M1,PC,RX,sti -t M5,MT,QT,HT,ML -p \ M3,M4 - M3_M4.sw3d sw3d -V -cgnd ${CAP_GROUND} -sub 2 -b K1,M1,PC,RX,sti -t M4,M5,MT,QT,HT,ML -p \ M2,M3 - M2_M3.sw3d sw3d -V -cgnd ${CAP_GROUND} -sub 2 -b M1,PC,RX,sti -t M3,M4,M5,MT,QT,HT,ML -p \ K1,M2 - K1_M2.sw3d sw3d -V -cgnd ${CAP_GROUND} -sub 2 -b PC,RX,sti -t M2,M3,M4,M5,MT,QT,HT,ML -p \ M1:M1_cut,K1 - M1_K1.sw3d sw3d -V -cgnd ${CAP_GROUND} -sub 2 -b RX,sti -t K1,M2,M3,M4,M5,MT,QT,HT,ML -p \ PC:PC_cut,M1:M1_cut - PC_M1.sw3d ENDCAT #==========================================================# # Prepare gate capacitance blocking layers #==========================================================# emerge -V nfet_dev_Device_20 pfet_dev_Device_41 allGate emerge took 0.00 user, 0.00 sys, 0.00 elapsed, 5772.0 kbytes #==========================================================# # Run pax16 to generate capfile #==========================================================# pax16 -V -ignore_cf_table -scf sip.cmd -cgnd ${CAP_GROUND},1.0 -rP \ np_rm1_resistive.res,np_rpc_resistive.res,mwires.res,dwires.res,rca4_m1_resistive_nfet_sd.res,rca4_m1_resistive_pc_model.res,rca4_m1_resistive_pc_resistive.res,rca4_m1_resistive_pfet_sd.res,rca4_pc_model_pc_resistive.res,rca4_pc_resistive_nfet_sd.res,rca4_pc_resistive_pfet_sd.res \ -M_perim_off -c \ /home/zheng072/IBM_7RF_PDK/IBM_PDK/cmrf7sf/V1.9.0.2ML/Assura/QRC/7LM/qrcTechFile \ -f sti RX PC:PC_cut M1:M1_cut K1 M2 M3 M4 M5 MT QT HT ML allGate - \ /home/zheng072/IBM_7RF_PDK/IBM_PDK/cmrf7sf/V1.9.0.2ML/Assura/QRC/7LM/qrcTechFile \ - - NET - capfile *WARNING* at "pax16": The gate layer name allGate is duplicated with a layer name defined in the process file procfile, and therefore renamed to allGate_2. rdpaxcmd took 0.49 user, 0.04 sys, 0.00 elapsed, 55612.0 kbytes pax took 0.00 user, 0.00 sys, 0.00 elapsed, 55808.0 kbytes INFO (PAXSXTN-142046): exec sip -NEWP -loading_effect -PAX16 -mlc_num 2 -V -cgnd 7 -s -o -sub 2 -mlc PC,M1 -n 3.87 -i 0,3.871 -b M1,PC,RX,sti -t M2,M3,M4,M5,MT,QT,HT,ML -j 5 -Maxw 11.61 -p K1,key 0,3.87 - K1.sip INFO (PAXSXTN-142046): exec sip -NEWP -loading_effect -PAX16 -mlc_num 2 -V -cgnd 7 -s -o -sub 2 -mlc M1,K1 -n 2.8 -i 0,2.801 -b K1,M1,PC,RX,sti -t M3,M4,M5,MT,QT,HT,ML -j 0.28 -Maxw 4.2 -p M2,key 0,2.8 - M2.sip INFO (PAXSXTN-142046): exec sip -NEWP -loading_effect -PAX16 -mlc_num 2 -V -cgnd 7 -s -o -sub 2 -mlc K1,M2 -n 2.8 -i 0,2.801 -b M2,K1,M1,PC,RX,sti -t M4,M5,MT,QT,HT,ML -j 0.28 -Maxw 4.2 -p M3,key 0,2.8 - M3.sip INFO (PAXSXTN-142046): exec sip -NEWP -loading_effect -PAX16 -mlc_num 2 -V -cgnd 7 -s -o -sub 2 -mlc M2,M3 -n 2.8 -i 0,2.801 -b M3,M2,K1,M1,PC,RX,sti -t M5,MT,QT,HT,ML -j 0.28 -Maxw 4.2 -p M4,key 0,2.8 - M4.sip INFO (PAXSXTN-142046): exec sip -NEWP -loading_effect -PAX16 -mlc_num 4 -V -cgnd 7 -s -o -sub 2 -mlc M3,M4 -n 4.2 -i 0,4.201 -b M4,M3,M2,K1,M1,PC,RX,sti -t MT,QT,HT,ML -j 0.28 -Maxw 4.2 -p M5,key 0,4.2 - M5.sip INFO (PAXSXTN-142046): exec sip -NEWP -loading_effect -PAX16 -sw3dbit 1,3 -mlc_num 3 -V -cgnd 7 -s -o -sub 2 -mlc M4,M5 -n 4.2 -i 0,4.201 -b M5,M4,M3,M2,K1,M1,PC,RX,sti -t QT,HT,ML -j 0.28 -Maxw 4.2 -p MT,key 0,4.2 - MT.sip INFO (PAXSXTN-142046): exec sip -NEWP -loading_effect -PAX16 -mlc_num 2 -V -cgnd 7 -s -o -sub 2 -mlc M5,MT -n 19.356 -i 0,19.357 -b MT,M5,M4,M3,M2,K1,M1,PC,RX,sti -t HT,ML -j 4 -Maxw 58.068 -p QT,key 0,19.356 - QT.sip INFO (PAXSXTN-142046): exec sip -NEWP -loading_effect -PAX16 -mlc_num 1 -V -cgnd 7 -s -o -sub 2 -mlc M5,MT,QT -n 20.292 -i 0,20.293 -b QT,MT,M5,M4,M3,M2,K1,M1,PC,RX,sti -t ML -j 4 -Maxw 60 -p HT,key 0,20.292 - HT.sip INFO (PAXSXTN-142046): exec sip -NEWP -loading_effect -PAX16 -sw3dbit 3,1 -mlc_num 0 -V -cgnd 7 -s -o -sub 2 -mlc M5,MT,QT,HT -n 24 -i 0,24.001 -b HT,QT,MT,M5,M4,M3,M2,K1,M1,PC,RX,sti -j 2.4 -Maxw 36 -p ML,key 0,24 - ML.sip INFO (PAXSXTN-142046): exec sip -NEWP -loading_effect -PAX16 -mlc_num 2 -V -cgnd 7 -s -o -sub 2 -cp PC,allGate,RX -n 2.4 -i 0,2.401 -b RX,sti -t M1,K1,M2,M3,M4,M5,MT,QT,HT,ML -j 0.18 -Maxw 2.7 -p PC,key 0,2.4 - PC.sip 3d sip took 0.01 user, 0.04 sys, 0.00 elapsed, 56588.0 kbytes INFO (PAXSXTN-142046): exec sip -NEWP -loading_effect -PAX16 -mlc_num 2 -V -cgnd 7 -s -o -sub 2 -mlc PC -n 2 -i 0,2.001 -b PC,RX,sti -t K1,M2,M3,M4,M5,MT,QT,HT,ML -j 0.24 -Maxw 3.6 -p M1,key 0,2 - M1.sip 3d sip took 0.01 user, 0.05 sys, 0.00 elapsed, 56744.0 kbytes INFO (PAXSXTN-142046): exec sip -NEWP -loading_effect -PAX16 -V -s -cgnd 7 -sub 2 -L3A -h -b QT,MT,M5,M4,M3,M2,K1,M1,PC,RX,sti -Maxw 60 -p HT,key,ML,key 0,24,0 - HT_ML.sip INFO (PAXSXTN-142046): exec sip -NEWP -loading_effect -PAX16 -V -s -cgnd 7 -sub 2 -L3A -h -b MT,M5,M4,M3,M2,K1,M1,PC,RX,sti -Maxw 58.068 -p QT,key,ML,key 0,24,0 - QT_ML.sip INFO (PAXSXTN-142046): exec sip -NEWP -loading_effect -PAX16 -V -s -cgnd 7 -sub 2 -h -b MT,M5,M4,M3,M2,K1,M1,PC,RX,sti -t ML -Maxw 60 -p QT,key,HT,key 0,20.292,0 - QT_HT.sip INFO (PAXSXTN-142046): exec sip -NEWP -loading_effect -PAX16 -V -s -cgnd 7 -sub 2 -L3A -h -b M5,M4,M3,M2,K1,M1,PC,RX,sti -k QT:0,HT:0 -Maxw 36 -p MT,key,ML,key 0,24,0 - MT_ML.sip INFO (PAXSXTN-142046): exec sip -NEWP -loading_effect -PAX16 -V -s -cgnd 7 -sub 2 -h -b M5,M4,M3,M2,K1,M1,PC,RX,sti -t ML -Maxw 60 -p MT,key,HT,key 0,20.292,0 - MT_HT.sip INFO (PAXSXTN-142046): exec sip -NEWP -loading_effect -PAX16 -V -s -cgnd 7 -sub 2 -h -b M5,M4,M3,M2,K1,M1,PC,RX,sti -t HT,ML -Maxw 58.068 -p MT,key,QT,key 0,19.356,0 - MT_QT.sip INFO (PAXSXTN-142046): exec sip -NEWP -loading_effect -PAX16 -V -s -cgnd 7 -sub 2 -L3A -h -R ML -b M4,M3,M2,K1,M1,PC,RX,sti -k MT:0,QT:0,HT:0 -Maxw 36 -p M5,key,ML,key 0,24,0 - M5_ML.sip INFO (PAXSXTN-142046): exec sip -NEWP -loading_effect -PAX16 -V -s -cgnd 7 -sub 2 -h -R HT -b M4,M3,M2,K1,M1,PC,RX,sti -t ML -k MT:0,QT:0 -Maxw 60 -p M5,key,HT,key 0,20.292,0 - M5_HT.sip INFO (PAXSXTN-142046): exec sip -NEWP -loading_effect -PAX16 -V -s -cgnd 7 -sub 2 -h -R QT -b M4,M3,M2,K1,M1,PC,RX,sti -t HT,ML -Maxw 58.068 -p M5,key,QT,key 0,19.356,0 - M5_QT.sip INFO (PAXSXTN-142046): exec sip -NEWP -loading_effect -PAX16 -V -s -cgnd 7 -sub 2 -h -b M4,M3,M2,K1,M1,PC,RX,sti -t QT,HT,ML -Maxw 4.2 -p M5,key,MT,key 0,4.2,0 - M5_MT.sip INFO (PAXSXTN-142046): exec sip -NEWP -loading_effect -PAX16 -V -s -cgnd 7 -sub 2 -L3A -h -R MT -b M3,M2,K1,M1,PC,RX,sti -t QT,HT,ML -Maxw 4.2 -p M4,key,MT,key 0,4.2,0 - M4_MT.sip INFO (PAXSXTN-142046): exec sip -NEWP -loading_effect -PAX16 -V -s -cgnd 7 -sub 2 -h -b M3,M2,K1,M1,PC,RX,sti -t MT,QT,HT,ML -Maxw 4.2 -p M4,key,M5,key 0,4.2,0 - M4_M5.sip INFO (PAXSXTN-142046): exec sip -NEWP -loading_effect -PAX16 -V -s -cgnd 7 -sub 2 -L3A -h -R M5 -b M2,K1,M1,PC,RX,sti -t MT,QT,HT,ML -Maxw 4.2 -p M3,key,M5,key 0,4.2,0 - M3_M5.sip INFO (PAXSXTN-142046): exec sip -NEWP -loading_effect -PAX16 -V -s -cgnd 7 -sub 2 -h -b M2,K1,M1,PC,RX,sti -t M5,MT,QT,HT,ML -Maxw 4.2 -p M3,key,M4,key 0,2.8,0 - M3_M4.sip INFO (PAXSXTN-142046): exec sip -NEWP -loading_effect -PAX16 -V -s -cgnd 7 -sub 2 -L3A -h -R M4 -b K1,M1,PC,RX,sti -t M5,MT,QT,HT,ML -Maxw 4.2 -p M2,key,M4,key 0,2.8,0 - M2_M4.sip INFO (PAXSXTN-142046): exec sip -NEWP -loading_effect -PAX16 -V -s -cgnd 7 -sub 2 -h -b K1,M1,PC,RX,sti -t M4,M5,MT,QT,HT,ML -Maxw 4.2 -p M2,key,M3,key 0,2.8,0 - M2_M3.sip INFO (PAXSXTN-142046): exec sip -NEWP -loading_effect -PAX16 -V -s -cgnd 7 -sub 2 -L3A -h -R M3 -b M1,PC,RX,sti -t M4,M5,MT,QT,HT,ML -Maxw 11.61 -p K1,key,M3,key 0,3.87,0 - K1_M3.sip INFO (PAXSXTN-142046): exec sip -NEWP -loading_effect -PAX16 -V -s -cgnd 7 -sub 2 -h -b M1,PC,RX,sti -t M3,M4,M5,MT,QT,HT,ML -Maxw 11.61 -p K1,key,M2,key 0,3.87,0 - K1_M2.sip INFO (PAXSXTN-142046): exec sip -NEWP -loading_effect -PAX16 -V -s -cgnd 7 -sub 2 -L3A -h -R M2 -b PC,RX,sti -t M3,M4,M5,MT,QT,HT,ML -Maxw 4.2 -p M1:M1_cut,key,M2,key 0,2.8,0 - M1_M2.sip INFO (PAXSXTN-142046): exec sip -NEWP -loading_effect -PAX16 -V -s -cgnd 7 -sub 2 -h -b PC,RX,sti -t M2,M3,M4,M5,MT,QT,HT,ML -Maxw 11.61 -p M1:M1_cut,key,K1,key 0,3.87,0 - M1_K1.sip INFO (PAXSXTN-142046): exec sip -NEWP -loading_effect -PAX16 -V -s -cgnd 7 -sub 2 -L3A -h -R K1 -b RX,sti -t M2,M3,M4,M5,MT,QT,HT,ML -k M1:0.33 -Maxw 11.61 -p PC:PC_cut,key,K1,key 0,3.87,0 - PC_K1.sip INFO (PAXSXTN-142046): exec sip -NEWP -loading_effect -PAX16 -V -s -cgnd 7 -sub 2 -h -R M1,PC -b RX,sti -t K1,M2,M3,M4,M5,MT,QT,HT,ML -Maxw 3.6 -p PC:PC_cut,key,M1:M1_cut,key 0,2.4,0 - PC_M1.sip 3d sip took 0.01 user, 0.00 sys, 0.00 elapsed, 56744.0 kbytes INFO (PAXSXTN-142046): exec sw3d -NEWP -PAX16 -V -cgnd 7 -sub 2 -b QT,MT,M5,M4,M3,M2,K1,M1,PC,RX,sti -p HT,ML - HT_ML.sw3d sw3d took 0.00 user, 0.01 sys, 0.00 elapsed, 56744.0 kbytes INFO (PAXSXTN-142046): exec sw3d -NEWP -PAX16 -V -cgnd 7 -sub 2 -b MT,M5,M4,M3,M2,K1,M1,PC,RX,sti -t ML -p QT,HT - QT_HT.sw3d sw3d took 0.00 user, 0.00 sys, 0.00 elapsed, 56744.0 kbytes INFO (PAXSXTN-142046): exec sw3d -NEWP -PAX16 -V -cgnd 7 -sub 2 -b M5,M4,M3,M2,K1,M1,PC,RX,sti -t HT,ML -p MT,QT - MT_QT.sw3d sw3d took 0.00 user, 0.00 sys, 0.00 elapsed, 56744.0 kbytes INFO (PAXSXTN-142046): exec sw3d -NEWP -PAX16 -V -cgnd 7 -sub 2 -b M4,M3,M2,K1,M1,PC,RX,sti -t QT,HT,ML -p M5,MT - M5_MT.sw3d sw3d took 0.00 user, 0.00 sys, 0.00 elapsed, 56744.0 kbytes INFO (PAXSXTN-142046): exec sw3d -NEWP -PAX16 -V -cgnd 7 -sub 2 -b M3,M2,K1,M1,PC,RX,sti -t MT,QT,HT,ML -p M4,M5 - M4_M5.sw3d sw3d took 0.00 user, 0.00 sys, 0.00 elapsed, 56744.0 kbytes INFO (PAXSXTN-142046): exec sw3d -NEWP -PAX16 -V -cgnd 7 -sub 2 -b M2,K1,M1,PC,RX,sti -t M5,MT,QT,HT,ML -p M3,M4 - M3_M4.sw3d sw3d took 0.00 user, 0.00 sys, 0.00 elapsed, 56744.0 kbytes INFO (PAXSXTN-142046): exec sw3d -NEWP -PAX16 -V -cgnd 7 -sub 2 -b K1,M1,PC,RX,sti -t M4,M5,MT,QT,HT,ML -p M2,M3 - M2_M3.sw3d sw3d took 0.00 user, 0.00 sys, 0.00 elapsed, 56744.0 kbytes INFO (PAXSXTN-142046): exec sw3d -NEWP -PAX16 -V -cgnd 7 -sub 2 -b M1,PC,RX,sti -t M3,M4,M5,MT,QT,HT,ML -p K1,M2 - K1_M2.sw3d sw3d took 0.00 user, 0.00 sys, 0.00 elapsed, 56744.0 kbytes INFO (PAXSXTN-142046): exec sw3d -NEWP -PAX16 -V -cgnd 7 -sub 2 -b PC,RX,sti -t M2,M3,M4,M5,MT,QT,HT,ML -p M1:M1_cut,K1 - M1_K1.sw3d sw3d took 0.00 user, 0.00 sys, 0.00 elapsed, 56744.0 kbytes INFO (PAXSXTN-142046): exec sw3d -NEWP -PAX16 -V -cgnd 7 -sub 2 -b RX,sti -t K1,M2,M3,M4,M5,MT,QT,HT,ML -p PC:PC_cut,M1:M1_cut - PC_M1.sw3d sw3d took 0.00 user, 0.00 sys, 0.00 elapsed, 56744.0 kbytes INFO (PAXSXTN-142046): exec sw3d -NEWP -PAX16 -V -sub 2 -b M5,M4,M3,M2,K1,M1,PC,RX,sti -kill QT,HT -p MT,ML - MT_ML.sw3d sw3d took 0.00 user, 0.00 sys, 0.00 elapsed, 56744.0 kbytes pax16 took 0.00 user, 0.00 sys, 0.00 elapsed, 56732.0 kbytes #==========================================================# # Generate netlister data files #==========================================================# cat < lvsmos.mod xnfetx#20auLvs#20cmrf7sf, 100000.0, 0, xnfet_av2, unused, unused, 100000.0 nfetx#20auLvs#20cmrf7sf, 100000.0, 0, nfet_av2, unused, unused, 100000.0 xpfetx#20auLvs#20cmrf7sf, 100000.0, 0, xpfet, unused, unused, 100000.0 pfetx#20auLvs#20cmrf7sf, 100000.0, 0, pfet, unused, unused, 100000.0 ENDCAT createDIODEModelFile lvsdio.mod1 diodenwx#20auLvs#20cmrf7sf 1 nwdiode_dev1_Device_331 nw substrate #==========================================================# # Perform RC reduction #==========================================================# xreduce -V -mergecap -n NET -d1 -e ML,HT,QT,MT,M5,M4,M3,M2,K1,M1,PC,RX,sti \ -decoupled -sr -merge_parallel_R -danglingR -minR 0.001 -rP \ np_rm1_resistive.res,np_rpc_resistive.res,mwires.res,dwires.res,rca4_m1_resistive_nfet_sd.res,rca4_m1_resistive_pc_model.res,rca4_m1_resistive_pc_resistive.res,rca4_m1_resistive_pfet_sd.res,rca4_pc_model_pc_resistive.res,rca4_pc_resistive_nfet_sd.res,rca4_pc_resistive_pfet_sd.res \ -cap capfile L1T0 L2T0 RLCTERMS nfet_dev_Device_20.transr \ pfet_dev_Device_41.transr nwdiode_dev1_Device_331.dpaxr INFO (XREDUCE-199107): Total number of resistors is 8 INFO (XREDUCE-199108): d1 option renamed 0 nets INFO (XREDUCE-199109): minR option removed 0 resistors (0%) INFO (XREDUCE-199110): merge_parallel_R option removed 0 resistors (0%) INFO (XREDUCE-199054): Total number of capacitors in capfile is 12 INFO (XREDUCE-199052): mergecap required for 0 (0%) of 16 nets INFO (XREDUCE-199113): danglingR option removed 0 resistors xreduce took 0.04 user, 0.14 sys, 0.00 elapsed, 20292.0 kbytes #==========================================================# # Prepare L/K extraction files #==========================================================# /bin/mv -f Rnp_rm1_resistive.pl M1.pl /bin/cp -f M1 M1.full #==========================================================# # Perform L/K extraction #==========================================================# IndExtract -map mapfile -p \ /home/zheng072/IBM_7RF_PDK/IBM_PDK/cmrf7sf/V1.9.0.2ML/Assura/QRC/7LM/qrcTechFile \ -power power_list_nums -l M1:L1T0 -term RLCTERMS + set -e + lextract -l M1 -p power_list_nums -t /home/zheng072/IBM_7RF_PDK/IBM_PDK/cmrf7sf/V1.9.0.2ML/Assura/QRC/7LM/qrcTechFile -ov outfile.V -oh outfile.H + regsort -i outfile.H -o outfile.H.sort + regsort -i outfile.V -o outfile.V.sort + regcal -V -res res.mod -filter 0.25 -i outfile.V.sort -o state.indverb -l M1:L1T0 -r RLCTERMS -p /home/zheng072/IBM_7RF_PDK/IBM_PDK/cmrf7sf/V1.9.0.2ML/Assura/QRC/7LM/qrcTechFile -log vertical.log regcal took 0.03 user, 0.00 sys, 1.00 elapsed, 8336.0 kbytes + regcal -V -res res.mod -filter 0.25 -i outfile.H.sort -o state.indhorb -l M1:L1T0 -r RLCTERMS -p /home/zheng072/IBM_7RF_PDK/IBM_PDK/cmrf7sf/V1.9.0.2ML/Assura/QRC/7LM/qrcTechFile -log horizontal.log regcal took 0.02 user, 0.00 sys, 0.00 elapsed, 8332.0 kbytes + res2wire -r np_rm1_resistive.res -d Rnp_rm1_resistive.dev -o Layer1.wir + wiresort -i Layer1.wir -o Layer1.wir.sort INFO (WIRESRT-207003): temp file name /home/zheng072/zy_senser/inverter_test/rcx_temp/wiresorVtKSw0. INFO (WIRESRT-207010): wiresort v2.0 completed. INFO (WIRESRT-207011): Layer 1 np_rm1_resistive.res + /bin/rm -f Layer1.wir + wirejointlink -i Layer1.wir.sort -e M1 -o Layer1.wir.joint -c M1_cut + /bin/rm -f Layer1.wir.sort + wiresort -i Layer1.wir.joint -o state.wir INFO (WIRESRT-207003): temp file name /home/zheng072/zy_senser/inverter_test/rcx_temp/wiresorIYQKA2. INFO (WIRESRT-207010): wiresort v2.0 completed. INFO (WIRESRT-207011): Layer 1 np_rm1_resistive.res + wirecal -v state.indverb -h state.indhorb -w state.wir -o state.final wirecal took 0.00 user, 0.00 sys, 0.00 elapsed, 4588.0 kbytes /bin/mv -f M1.full M1 #==========================================================# # Generate HSPICE file #==========================================================# advgen -V -g0 -li -f -n -o HSPICE -L state.final -TL L1T0,L2T0 -cgnd \ ${CAP_GROUND},1.0 -sc caps2dversion -mMx capfile \ ML,HT,QT,MT,M5,M4,M3,M2,K1,M1,PC,RX,sti -rPM res.mod \ np_rm1_resistive.res,Rnp_rm1_resistive.dev2 \ np_rpc_resistive.res,Rnp_rpc_resistive.dev2 \ rca4_m1_resistive_nfet_sd.res,Rrca4_m1_resistive_nfet_sd.dev2 \ rca4_m1_resistive_pc_model.res,Rrca4_m1_resistive_pc_model.dev2 \ rca4_m1_resistive_pc_resistive.res,Rrca4_m1_resistive_pc_resistive.dev2 \ rca4_m1_resistive_pfet_sd.res,Rrca4_m1_resistive_pfet_sd.dev2 \ rca4_pc_model_pc_resistive.res,Rrca4_pc_model_pc_resistive.dev2 \ rca4_pc_resistive_nfet_sd.res,Rrca4_pc_resistive_nfet_sd.dev2 \ rca4_pc_resistive_pfet_sd.res,Rrca4_pc_resistive_pfet_sd.dev2 -rPM \ mwires.mod mwires.res,mwires.dev2 -rPM dwires.mod \ dwires.res,dwires.dev2 -ta lvsmos.mod,nfet_dev_Device_20.net \ nfet_dev_Device_20.transr -ta lvsmos.mod,pfet_dev_Device_41.net \ pfet_dev_Device_41.transr -dM lvsdio.mod1,nwdiode_dev1_Device_331.net \ nwdiode_dev1_Device_331.dpaxr - NET - \ /home/zheng072/zy_senser/inverter_test/extview.tmp process netfile took 0.01 user, 0.00 sys, 0.00 elapsed, 24456.0 kbytes WARNING (ADVGN-221293): No inductances were extracted from the design even though inductance extraction was run. WARNING (ADVGN-221294): Check the ?rcxplNetFile and ?userRegion files for errors that may have prevented inductances from being extracted. advgen took: 0.18 user, 0.36 sys, 1.00 elapsed, 27052.0 kbytes #==========================================================# # Create _save_layers file for Assura extracted view #==========================================================# geom M1 np_rm1_resistive - np_rm1_resistive,11,i,1 geom PC np_rpc_resistive - np_rpc_resistive,11,i,1 geom rca4_m1_resistive_pc_resistive np_rca4_m1_resistive_pc_resistive - np_rca4_m1_resistive_pc_resistive,11,i,1 stamp -i rpc_resistive_pc_model_ovia pc_resistive_pc_model_ovia stamp -i rpc_resistive_pc_resistive_pc_model_butt_ovia pc_resistive_pc_resistive_pc_model_butt_ovia stamp -i rGateCon_Gate_pc_resistive GateCon_Gate_pc_resistive stamp -i rGateCon_pc_model_pc_resistive GateCon_pc_model_pc_resistive stamp -i rca4_m1_resistive_pc_model ca4_m1_resistive_pc_model stamp -i rca4_m1_resistive_pfet_sd ca4_m1_resistive_pfet_sd stamp -i rca4_m1_resistive_nfet_sd ca4_m1_resistive_nfet_sd stamp -i rca4_pc_model_pc_resistive ca4_pc_model_pc_resistive stamp -i rca4_pc_resistive_pfet_sd ca4_pc_resistive_pfet_sd stamp -i rca4_pc_resistive_nfet_sd ca4_pc_resistive_nfet_sd cat < _save_layers sti substrate nw K1 K1 M2 M2 M3 M3 M4 M4 M5 M5 MT MT QT QT HT HT ML ML RX np_rpfet_sd p_rpfet_sd np_rnfet_sd p_rnfet_sd GateCon GateCon_pc_model_pc_resistive GateCon_Gate_pc_resistive GateCon_Gate_pc_model ca4 ca4_pfet_sd_nfet_sd ca4_pc_resistive_nfet_sd ca4_pc_resistive_pfet_sd ca4_pc_model_nfet_sd ca4_pc_model_pfet_sd ca4_pc_model_pc_resistive ca4_m1_resistive_nfet_sd ca4_m1_resistive_pfet_sd np_rca4_m1_resistive_pc_resistive p_rca4_m1_resistive_pc_resistive ca4_m1_resistive_pc_model m1_resistive np_rm1_resistive p_rm1_resistive pc_model np_rpc_model p_rpc_model pc_resistive np_rpc_resistive p_rpc_resistive nfet_sd np_rnfet_sd p_rnfet_sd pfet_sd np_rpfet_sd p_rpfet_sd nw np_rnw p_rnw substrate substrate.df2 pc_resistive_pc_model_ovia pc_resistive_pc_model_ovia pc_resistive_pc_resistive_pc_model_butt_ovia pc_resistive_pc_resistive_pc_model_butt_ovia pc_resistive_pc_model_butt np_rpc_resistive_pc_model_butt p_rpc_resistive_pc_model_butt pc_model_pc_resistive_pc_model_butt_ovia pc_model_pc_resistive_pc_model_butt_ovia nd_via nd_via nd_comp np_rnd_comp p_rnd_comp pd_via pd_via pd_comp np_rpd_comp p_rpd_comp Gate np_rGate p_rGate LVgateCon LVgateCon LVgate np_rLVgate p_rLVgate substrate_substrate_text_ovia substrate_substrate_text_ovia substrate_text np_rsubstrate_text p_rsubstrate_text subs_sti_substrate_ovia subs_sti_substrate_ovia subs_sti np_rsubs_sti p_rsubs_sti well np_rwell p_rwell ENDCAT INFO (LBRCXM-610): Extraction finished. INFO (LBRCXU-108): Starting /software/Cadence/ASSURA41/current_610/tools/assura/bin/rcxToDfII /home/zheng072/zy_senser/inverter_test/__qrc.rcx_cmd -f /home/zheng072/zy_senser/inverter_test/extview.tmp -w /home/zheng072/zy_senser/inverter_test -cdslib /home/zheng072/zy_senser/cds.lib Virtuoso Framework License (111) was checked out successfully. Total checkout time was 0.06s. @(#)$CDS: rcxToDfII version av4.1:Production:dfII6.1.4:IC6.1.4.500.10 09/21/2011 15:04 (vl-sfrh48) $ sub-version 4.1_USR2_HF10, integ signature 2011-09-21-1424 run on cvlws01.cvl.ece from /software/Cadence/ASSURA41/04.12.010-614_lnx86/tools.lnx86/assura/bin/32bit/rcxToDfII on Mon Apr 23 23:42:39 2012 *WARNING* '/home/zheng072/zy_senser/cds.lib', Line 2: Skipping: '*DEFINE' analogLib $CDS/tools/dfII/etc/cdslib/artist/analogLib *WARNING* '/home/zheng072/zy_senser/cds.lib', Line 3: Skipping: '*DEFINE' sbaLib $CDS/tools/dfII/etc/cdslib/artist/sbaLib *WARNING* '/home/zheng072/zy_senser/cds.lib', Line 4: Skipping: '*DEFINE' basic $CDS/tools/dfII/etc/cdslib/basic *WARNING* '/home/zheng072/zy_senser/cds.lib', Line 5: Skipping: '*DEFINE' sample $CDS/tools/dfII/samples/cdslib/sample *WARNING* '/home/zheng072/zy_senser/cds.lib', Line 6: Skipping: '*DEFINE' US_8ths $CDS/tools/dfII/etc/cdslib/sheets/US_8ths Loading IBM PDK cmrf7sf procedures... IBM PDK cmrf7sf Device Status Table loaded. *Error* eval: undefined function - hiGraphicMode *WARNING* LIB test1 from File /home/zheng072/zy_senser/cds.lib Line 11 redefines LIB test1 from the same file (defined earlier.) *WARNING* '/home/zheng072/zy_senser/cds.lib', Line 2: Skipping: '*DEFINE' analogLib $CDS/tools/dfII/etc/cdslib/artist/analogLib *WARNING* '/home/zheng072/zy_senser/cds.lib', Line 3: Skipping: '*DEFINE' sbaLib $CDS/tools/dfII/etc/cdslib/artist/sbaLib *WARNING* '/home/zheng072/zy_senser/cds.lib', Line 4: Skipping: '*DEFINE' basic $CDS/tools/dfII/etc/cdslib/basic *WARNING* '/home/zheng072/zy_senser/cds.lib', Line 5: Skipping: '*DEFINE' sample $CDS/tools/dfII/samples/cdslib/sample *WARNING* '/home/zheng072/zy_senser/cds.lib', Line 6: Skipping: '*DEFINE' US_8ths $CDS/tools/dfII/etc/cdslib/sheets/US_8ths *WARNING* LIB test1 from File /home/zheng072/zy_senser/cds.lib Line 11 redefines LIB test1 from the same file (defined earlier.) *WARNING* (TECH-230035): User-defined rule "minExtensionDistance" in constraint group "foundry" of techDB "cmrf7sf" conflicts with a built-in constraint with the same name. You may write out "constraintGroups" section to an ASCII file, reopen the technology database in "a" mode, and reload the file to update the database. Another option is to rename this rule. *WARNING* (TECH-230035): User-defined rule "minExtensionDistance" in constraint group "foundry" of techDB "cmrf7sf" conflicts with a built-in constraint with the same name. You may write out "constraintGroups" section to an ASCII file, reopen the technology database in "a" mode, and reload the file to update the database. Another option is to rename this rule. *WARNING* (TECH-230035): User-defined rule "minExtensionDistance" in constraint group "foundry" of techDB "cmrf7sf" conflicts with a built-in constraint with the same name. You may write out "constraintGroups" section to an ASCII file, reopen the technology database in "a" mode, and reload the file to update the database. Another option is to rename this rule. *WARNING* (TECH-230035): User-defined rule "minExtensionDistance" in constraint group "foundry" of techDB "cmrf7sf" conflicts with a built-in constraint with the same name. You may write out "constraintGroups" section to an ASCII file, reopen the technology database in "a" mode, and reload the file to update the database. Another option is to rename this rule. *WARNING* (TECH-230035): User-defined rule "minExtensionDistance" in constraint group "foundry" of techDB "cmrf7sf" conflicts with a built-in constraint with the same name. You may write out "constraintGroups" section to an ASCII file, reopen the technology database in "a" mode, and reload the file to update the database. Another option is to rename this rule. *WARNING* (TECH-230035): User-defined rule "minExtensionDistance" in constraint group "foundry" of techDB "cmrf7sf" conflicts with a built-in constraint with the same name. You may write out "constraintGroups" section to an ASCII file, reopen the technology database in "a" mode, and reload the file to update the database. Another option is to rename this rule. *WARNING* (TECH-230035): User-defined rule "minExtensionDistance" in constraint group "foundry" of techDB "cmrf7sf" conflicts with a built-in constraint with the same name. You may write out "constraintGroups" section to an ASCII file, reopen the technology database in "a" mode, and reload the file to update the database. Another option is to rename this rule. *WARNING* (TECH-230035): User-defined rule "minExtensionDistance" in constraint group "foundry" of techDB "cmrf7sf" conflicts with a built-in constraint with the same name. You may write out "constraintGroups" section to an ASCII file, reopen the technology database in "a" mode, and reload the file to update the database. Another option is to rename this rule. *WARNING* (TECH-230035): User-defined rule "minExtensionDistance" in constraint group "foundry" of techDB "cmrf7sf" conflicts with a built-in constraint with the same name. You may write out "constraintGroups" section to an ASCII file, reopen the technology database in "a" mode, and reload the file to update the database. Another option is to rename this rule. *WARNING* (TECH-230035): User-defined rule "minExtensionDistance" in constraint group "foundry" of techDB "cmrf7sf" conflicts with a built-in constraint with the same name. You may write out "constraintGroups" section to an ASCII file, reopen the technology database in "a" mode, and reload the file to update the database. Another option is to rename this rule. *WARNING* (TECH-230035): User-defined rule "minExtensionDistance" in constraint group "foundry" of techDB "cmrf7sf" conflicts with a built-in constraint with the same name. You may write out "constraintGroups" section to an ASCII file, reopen the technology database in "a" mode, and reload the file to update the database. Another option is to rename this rule. *WARNING* (TECH-230035): User-defined rule "minExtensionDistance" in constraint group "foundry" of techDB "cmrf7sf" conflicts with a built-in constraint with the same name. You may write out "constraintGroups" section to an ASCII file, reopen the technology database in "a" mode, and reload the file to update the database. Another option is to rename this rule. *WARNING* (TECH-230035): User-defined rule "minExtensionDistance" in constraint group "foundry" of techDB "cmrf7sf" conflicts with a built-in constraint with the same name. You may write out "constraintGroups" section to an ASCII file, reopen the technology database in "a" mode, and reload the file to update the database. Another option is to rename this rule. *WARNING* (TECH-230035): User-defined rule "minExtensionDistance" in constraint group "foundry" of techDB "cmrf7sf" conflicts with a built-in constraint with the same name. You may write out "constraintGroups" section to an ASCII file, reopen the technology database in "a" mode, and reload the file to update the database. Another option is to rename this rule. Creating extracted view for test1 inverter_test layout Schematic cell - inverter_test schematic test1 Copying layer RF Layer RF has 0 shapes. Copying layer transmission1 Layer transmission1 has 0 shapes. Copying layer ind Layer ind has 0 shapes. Save recognition layer vncap_tnw1 Layer vncap_tnw1 has 0 shapes. Save recognition layer vncap_top1 Layer vncap_top1 has 0 shapes. Save recognition layer vncap_top1 Layer vncap_top1 has 0 shapes. Save recognition layer vncap_tnwt Layer vncap_tnwt has 0 shapes. Save recognition layer vncap_topt Layer vncap_topt has 0 shapes. Save recognition layer vncap_topt Layer vncap_topt has 0 shapes. Save recognition layer vncap_tnw2 Layer vncap_tnw2 has 0 shapes. Save recognition layer vncap_top2 Layer vncap_top2 has 0 shapes. Save recognition layer vncap_top2 Layer vncap_top2 has 0 shapes. Save recognition layer vncap_tnw3 Layer vncap_tnw3 has 0 shapes. Save recognition layer vncap_top3 Layer vncap_top3 has 0 shapes. Save recognition layer vncap_top3 Layer vncap_top3 has 0 shapes. Save recognition layer vncap_tnw4 Layer vncap_tnw4 has 0 shapes. Save recognition layer vncap_top4 Layer vncap_top4 has 0 shapes. Save recognition layer vncap_top4 Layer vncap_top4 has 0 shapes. Save recognition layer vncap_tnw5 Layer vncap_tnw5 has 0 shapes. Save recognition layer vncap_top5 Layer vncap_top5 has 0 shapes. Save recognition layer vncap_top5 Layer vncap_top5 has 0 shapes. Save recognition layer nfeti_dev_psp_b Layer nfeti_dev_psp_b has 0 shapes. Save recognition layer nfeti_dev_psp Layer nfeti_dev_psp has 0 shapes. Save recognition layer nfeti_dev_psp_MV Layer nfeti_dev_psp_MV has 0 shapes. Save recognition layer nfeti_dev_psp_HV Layer nfeti_dev_psp_HV has 0 shapes. Save recognition layer nfeti_dev Layer nfeti_dev has 0 shapes. Save recognition layer nfeti_dev_b Layer nfeti_dev_b has 0 shapes. Save recognition layer nfeti_dev_MV Layer nfeti_dev_MV has 0 shapes. Save recognition layer nfeti_dev_HV Layer nfeti_dev_HV has 0 shapes. Save recognition layer nfeti_dev_TG Layer nfeti_dev_TG has 0 shapes. Save recognition layer nfeti_mul_psp Layer nfeti_mul_psp has 0 shapes. Save recognition layer nfeti_mul_psp_MV Layer nfeti_mul_psp_MV has 0 shapes. Save recognition layer nfeti_mul_psp_HV Layer nfeti_mul_psp_HV has 0 shapes. Save recognition layer nfeti_mul Layer nfeti_mul has 0 shapes. Save recognition layer nfeti_mul_MV Layer nfeti_mul_MV has 0 shapes. Save recognition layer nfeti_mul_HV Layer nfeti_mul_HV has 0 shapes. Save recognition layer nfeti_mul_TG Layer nfeti_mul_TG has 0 shapes. Save recognition layer nfet6_dev_psp_b Layer nfet6_dev_psp_b has 0 shapes. Save recognition layer nfet6_dev_psp Layer nfet6_dev_psp has 0 shapes. Save recognition layer nfet6_dev_psp_MV Layer nfet6_dev_psp_MV has 0 shapes. Save recognition layer nfet6_dev_psp_HV Layer nfet6_dev_psp_HV has 0 shapes. Save recognition layer nfet6_dev Layer nfet6_dev has 0 shapes. Save recognition layer nfet6_dev_b Layer nfet6_dev_b has 0 shapes. Save recognition layer nfet6_dev_MV Layer nfet6_dev_MV has 0 shapes. Save recognition layer nfet6_dev_HV Layer nfet6_dev_HV has 0 shapes. Save recognition layer nfet6_dev_TG Layer nfet6_dev_TG has 0 shapes. Save recognition layer nfet6_mul_psp Layer nfet6_mul_psp has 0 shapes. Save recognition layer nfet6_mul_psp_MV Layer nfet6_mul_psp_MV has 0 shapes. Save recognition layer nfet6_mul_psp_HV Layer nfet6_mul_psp_HV has 0 shapes. Save recognition layer nfet6_mul Layer nfet6_mul has 0 shapes. Save recognition layer nfet6_mul_MV Layer nfet6_mul_MV has 0 shapes. Save recognition layer nfet6_mul_HV Layer nfet6_mul_HV has 0 shapes. Save recognition layer nfet6_mul_TG Layer nfet6_mul_TG has 0 shapes. Save recognition layer nfet_dpw_psp Layer nfet_dpw_psp has 0 shapes. Save recognition layer nfet_dpw_psp_MV Layer nfet_dpw_psp_MV has 0 shapes. Save recognition layer nfet_dpw_psp_HV Layer nfet_dpw_psp_HV has 0 shapes. Save recognition layer nfet_dpw_psp_b Layer nfet_dpw_psp_b has 0 shapes. Save recognition layer nfet_dpw Layer nfet_dpw has 0 shapes. Save recognition layer nfet_dpw_MV Layer nfet_dpw_MV has 0 shapes. Save recognition layer nfet_dpw_HV Layer nfet_dpw_HV has 0 shapes. Save recognition layer nfet_dpw_b Layer nfet_dpw_b has 0 shapes. Save recognition layer nfet_dpw_TG Layer nfet_dpw_TG has 0 shapes. Save recognition layer nfet_dev_psp Layer nfet_dev_psp has 0 shapes. Save recognition layer nfet_dev_psp_MV Layer nfet_dev_psp_MV has 0 shapes. Save recognition layer nfet_dev_psp_HV Layer nfet_dev_psp_HV has 0 shapes. Save recognition layer nfet_dev_psp_b Layer nfet_dev_psp_b has 0 shapes. Save recognition layer nfet_dev Layer nfet_dev has 1 shapes. Save recognition layer nfet_dev_MV Layer nfet_dev_MV has 0 shapes. Save recognition layer nfet_dev_HV Layer nfet_dev_HV has 0 shapes. Save recognition layer nfet_dev_b Layer nfet_dev_b has 0 shapes. Save recognition layer nfet_dev_TG Layer nfet_dev_TG has 0 shapes. Save recognition layer nfet_dev_HVT Layer nfet_dev_HVT has 0 shapes. Save recognition layer nfet_dev_HVT_b Layer nfet_dev_HVT_b has 0 shapes. Save recognition layer nfet_mul_psp Layer nfet_mul_psp has 0 shapes. Save recognition layer nfet_mul_psp Layer nfet_mul_psp has 0 shapes. Save recognition layer nfet_mul_psp_MV Layer nfet_mul_psp_MV has 0 shapes. Save recognition layer nfet_mul_psp_MV Layer nfet_mul_psp_MV has 0 shapes. Save recognition layer nfet_mul_psp_HV Layer nfet_mul_psp_HV has 0 shapes. Save recognition layer nfet_mul_psp_HV Layer nfet_mul_psp_HV has 0 shapes. Save recognition layer nfet_mul Layer nfet_mul has 0 shapes. Save recognition layer nfet_mul Layer nfet_mul has 0 shapes. Save recognition layer nfet_mul_MV Layer nfet_mul_MV has 0 shapes. Save recognition layer nfet_mul_MV Layer nfet_mul_MV has 0 shapes. Save recognition layer nfet_mul_HV Layer nfet_mul_HV has 0 shapes. Save recognition layer nfet_mul_HV Layer nfet_mul_HV has 0 shapes. Save recognition layer nfet_mul_TG Layer nfet_mul_TG has 0 shapes. Save recognition layer nfet_mul_TG Layer nfet_mul_TG has 0 shapes. Save recognition layer nfet_mul_HVT Layer nfet_mul_HVT has 0 shapes. Save recognition layer nfzv_dev Layer nfzv_dev has 0 shapes. Save recognition layer nfzv_dev_HV Layer nfzv_dev_HV has 0 shapes. Save recognition layer nfzv_mul Layer nfzv_mul has 0 shapes. Save recognition layer nfzv_mul_HV Layer nfzv_mul_HV has 0 shapes. Save recognition layer nfRF_dev_psp Layer nfRF_dev_psp has 0 shapes. Save recognition layer nfRF_dev_psp Layer nfRF_dev_psp has 0 shapes. Save recognition layer nfRF_dev_psp_MV Layer nfRF_dev_psp_MV has 0 shapes. Save recognition layer nfRF_dev_psp_MV Layer nfRF_dev_psp_MV has 0 shapes. Save recognition layer nfRF_dev_psp_HV Layer nfRF_dev_psp_HV has 0 shapes. Save recognition layer nfRF_dev_psp_HV Layer nfRF_dev_psp_HV has 0 shapes. Save recognition layer nfRF_dev Layer nfRF_dev has 0 shapes. Save recognition layer nfRF_dev Layer nfRF_dev has 0 shapes. Save recognition layer nfRF_dev_MV Layer nfRF_dev_MV has 0 shapes. Save recognition layer nfRF_dev_MV Layer nfRF_dev_MV has 0 shapes. Save recognition layer nfRF_dev_HV Layer nfRF_dev_HV has 0 shapes. Save recognition layer nfRF_dev_HV Layer nfRF_dev_HV has 0 shapes. Save recognition layer nfRF_mul_psp Layer nfRF_mul_psp has 0 shapes. Save recognition layer nfRF_mul_psp Layer nfRF_mul_psp has 0 shapes. Save recognition layer nfRF_mul_psp_MV Layer nfRF_mul_psp_MV has 0 shapes. Save recognition layer nfRF_mul_psp_MV Layer nfRF_mul_psp_MV has 0 shapes. Save recognition layer nfRF_mul_psp_HV Layer nfRF_mul_psp_HV has 0 shapes. Save recognition layer nfRF_mul_psp_HV Layer nfRF_mul_psp_HV has 0 shapes. Save recognition layer nfRF_mul Layer nfRF_mul has 0 shapes. Save recognition layer nfRF_mul Layer nfRF_mul has 0 shapes. Save recognition layer nfRF_mul_MV Layer nfRF_mul_MV has 0 shapes. Save recognition layer nfRF_mul_MV Layer nfRF_mul_MV has 0 shapes. Save recognition layer nfRF_mul_HV Layer nfRF_mul_HV has 0 shapes. Save recognition layer nfRF_mul_HV Layer nfRF_mul_HV has 0 shapes. Save recognition layer nfRFi_dev_psp Layer nfRFi_dev_psp has 0 shapes. Save recognition layer nfRFi_dev_psp_MV Layer nfRFi_dev_psp_MV has 0 shapes. Save recognition layer nfRFi_dev_psp_HV Layer nfRFi_dev_psp_HV has 0 shapes. Save recognition layer nfRFi_dev Layer nfRFi_dev has 0 shapes. Save recognition layer nfRFi_dev_MV Layer nfRFi_dev_MV has 0 shapes. Save recognition layer nfRFi_dev_HV Layer nfRFi_dev_HV has 0 shapes. Save recognition layer nfRFi_mul_psp Layer nfRFi_mul_psp has 0 shapes. Save recognition layer nfRFi_mul_psp_MV Layer nfRFi_mul_psp_MV has 0 shapes. Save recognition layer nfRFi_mul_psp_HV Layer nfRFi_mul_psp_HV has 0 shapes. Save recognition layer nfRFi_mul Layer nfRFi_mul has 0 shapes. Save recognition layer nfRFi_mul_MV Layer nfRFi_mul_MV has 0 shapes. Save recognition layer nfRFi_mul_HV Layer nfRFi_mul_HV has 0 shapes. Save recognition layer nfRF6_dev_psp Layer nfRF6_dev_psp has 0 shapes. Save recognition layer nfRF6_dev_psp_MV Layer nfRF6_dev_psp_MV has 0 shapes. Save recognition layer nfRF6_dev_psp_HV Layer nfRF6_dev_psp_HV has 0 shapes. Save recognition layer nfRF6_dev Layer nfRF6_dev has 0 shapes. Save recognition layer nfRF6_dev_MV Layer nfRF6_dev_MV has 0 shapes. Save recognition layer nfRF6_dev_HV Layer nfRF6_dev_HV has 0 shapes. Save recognition layer nfRF6_mul_psp Layer nfRF6_mul_psp has 0 shapes. Save recognition layer nfRF6_mul_psp_MV Layer nfRF6_mul_psp_MV has 0 shapes. Save recognition layer nfRF6_mul_psp_HV Layer nfRF6_mul_psp_HV has 0 shapes. Save recognition layer nfRF6_mul Layer nfRF6_mul has 0 shapes. Save recognition layer nfRF6_mul_MV Layer nfRF6_mul_MV has 0 shapes. Save recognition layer nfRF6_mul_HV Layer nfRF6_mul_HV has 0 shapes. Save recognition layer nfRF_dev_TG Layer nfRF_dev_TG has 0 shapes. Save recognition layer nfRF_dev_TG Layer nfRF_dev_TG has 0 shapes. Save recognition layer nfRF_mul_TG Layer nfRF_mul_TG has 0 shapes. Save recognition layer nfRF_mul_TG Layer nfRF_mul_TG has 0 shapes. Save recognition layer nfRFi_dev_TG Layer nfRFi_dev_TG has 0 shapes. Save recognition layer nfRF6_dev_TG Layer nfRF6_dev_TG has 0 shapes. Save recognition layer nfRFi_mul_TG Layer nfRFi_mul_TG has 0 shapes. Save recognition layer nfRF6_mul_TG Layer nfRF6_mul_TG has 0 shapes. Save recognition layer pfet_dev_psp Layer pfet_dev_psp has 0 shapes. Save recognition layer pfet_dev_psp_MV Layer pfet_dev_psp_MV has 0 shapes. Save recognition layer pfet_dev_psp_HV Layer pfet_dev_psp_HV has 0 shapes. Save recognition layer pfet_dev_psp_b Layer pfet_dev_psp_b has 0 shapes. Save recognition layer pfet_dev Layer pfet_dev has 1 shapes. Save recognition layer pfet_dev_MV Layer pfet_dev_MV has 0 shapes. Save recognition layer pfet_dev_TG Layer pfet_dev_TG has 0 shapes. Save recognition layer pfet_dev_SCG Layer pfet_dev_SCG has 0 shapes. Save recognition layer pfet_dev_HV Layer pfet_dev_HV has 0 shapes. Save recognition layer pfet_dev_b Layer pfet_dev_b has 0 shapes. Save recognition layer pfet_dev_HVT Layer pfet_dev_HVT has 0 shapes. Save recognition layer pfet_dev_HVT_b Layer pfet_dev_HVT_b has 0 shapes. Save recognition layer pfet_mul_psp Layer pfet_mul_psp has 0 shapes. Save recognition layer pfet_mul_psp_MV Layer pfet_mul_psp_MV has 0 shapes. Save recognition layer pfet_mul_psp_HV Layer pfet_mul_psp_HV has 0 shapes. Save recognition layer pfet_mul Layer pfet_mul has 0 shapes. Save recognition layer pfet_mul_MV Layer pfet_mul_MV has 0 shapes. Save recognition layer pfet_mul_HV Layer pfet_mul_HV has 0 shapes. Save recognition layer pfet_mul_HVT Layer pfet_mul_HVT has 0 shapes. Save recognition layer pfet_mul_TG Layer pfet_mul_TG has 0 shapes. Save recognition layer pfet_mul_SCG Layer pfet_mul_SCG has 0 shapes. Save recognition layer pfRF_dev_psp Layer pfRF_dev_psp has 0 shapes. Save recognition layer pfRF_dev_psp_MV Layer pfRF_dev_psp_MV has 0 shapes. Save recognition layer pfRF_dev_psp_HV Layer pfRF_dev_psp_HV has 0 shapes. Save recognition layer pfRF_dev Layer pfRF_dev has 0 shapes. Save recognition layer pfRF_dev_MV Layer pfRF_dev_MV has 0 shapes. Save recognition layer pfRF_dev_HV Layer pfRF_dev_HV has 0 shapes. Save recognition layer pfRF_mul_psp Layer pfRF_mul_psp has 0 shapes. Save recognition layer pfRF_mul_psp_MV Layer pfRF_mul_psp_MV has 0 shapes. Save recognition layer pfRF_mul_psp_HV Layer pfRF_mul_psp_HV has 0 shapes. Save recognition layer pfRF_mul Layer pfRF_mul has 0 shapes. Save recognition layer pfRF_mul_MV Layer pfRF_mul_MV has 0 shapes. Save recognition layer pfRF_mul_HV Layer pfRF_mul_HV has 0 shapes. Save recognition layer pfRF_dev_TG Layer pfRF_dev_TG has 0 shapes. Save recognition layer pfRF_mul_TG Layer pfRF_mul_TG has 0 shapes. Save recognition layer pfRF_dev_SCG Layer pfRF_dev_SCG has 0 shapes. Save recognition layer pfRF_mul_SCG Layer pfRF_mul_SCG has 0 shapes. Save recognition layer efuse Layer efuse has 0 shapes. Save recognition layer DI_diode Layer DI_diode has 0 shapes. Save recognition layer DIPI_diode Layer DIPI_diode has 0 shapes. Save recognition layer DI_VPNP Layer DI_VPNP has 0 shapes. Save recognition layer hvr_dev Layer hvr_dev has 0 shapes. Save recognition layer diffhavar_dev Layer diffhavar_dev has 0 shapes. Save recognition layer ntie_device Layer ntie_device has 0 shapes. Save recognition layer ntie_device Layer ntie_device has 0 shapes. Save recognition layer ptie_device Layer ptie_device has 0 shapes. Save recognition layer mosvar_dev Layer mosvar_dev has 0 shapes. Save recognition layer mosvar50_dev Layer mosvar50_dev has 0 shapes. Save recognition layer diffmosvar_dev Layer diffmosvar_dev has 0 shapes. Save recognition layer pcap_dev Layer pcap_dev has 0 shapes. Save recognition layer ncap_dev Layer ncap_dev has 0 shapes. Save recognition layer vlnpn_dev Layer vlnpn_dev has 0 shapes. Save recognition layer ncap33_dev Layer ncap33_dev has 0 shapes. Save recognition layer ncap50_dev Layer ncap50_dev has 0 shapes. Save recognition layer jfet_dev Layer jfet_dev has 0 shapes. Save recognition layer ESD_pdnw_diode Layer ESD_pdnw_diode has 0 shapes. Save recognition layer ESD_pdnw_diode_GR_sized Layer ESD_pdnw_diode_GR_sized has 0 shapes. Save recognition layer ESD_pdnw_diode_GR Layer ESD_pdnw_diode_GR has 0 shapes. Save recognition layer ESD_ndsx Layer ESD_ndsx has 0 shapes. Save recognition layer ESD_ndsx_GR_sized Layer ESD_ndsx_GR_sized has 0 shapes. Save recognition layer ESD_ndsx_GR Layer ESD_ndsx_GR has 0 shapes. Save recognition layer ESD_ndsx_pi Layer ESD_ndsx_pi has 0 shapes. Save recognition layer ESD_pdnw_pi Layer ESD_pdnw_pi has 0 shapes. Save recognition layer esdnsh_dev Layer esdnsh_dev has 0 shapes. Save recognition layer esdnsh_dev Layer esdnsh_dev has 0 shapes. Save recognition layer esdpsh_dev Layer esdpsh_dev has 0 shapes. Save recognition layer SBD Layer SBD has 0 shapes. Save recognition layer SBDNW Layer SBDNW has 0 shapes. Save recognition layer PSBD Layer PSBD has 0 shapes. Save recognition layer rnw6pc_dev Layer rnw6pc_dev has 0 shapes. Save recognition layer res6pc_dev Layer res6pc_dev has 0 shapes. Save recognition layer res6pc_dev Layer res6pc_dev has 0 shapes. Save recognition layer rnw6rr_dev Layer rnw6rr_dev has 0 shapes. Save recognition layer res6rr_dev Layer res6rr_dev has 0 shapes. Save recognition layer res6rr_dev Layer res6rr_dev has 0 shapes. Save recognition layer rnw6rp_dev Layer rnw6rp_dev has 0 shapes. Save recognition layer res6rp_dev Layer res6rp_dev has 0 shapes. Save recognition layer res6rp_dev Layer res6rp_dev has 0 shapes. Save recognition layer res6n_dev Layer res6n_dev has 0 shapes. Save recognition layer res6n_dev Layer res6n_dev has 0 shapes. Save recognition layer res6p_dev Layer res6p_dev has 0 shapes. Save recognition layer res6n_sblk Layer res6n_sblk has 0 shapes. Save recognition layer res6n_sblk Layer res6n_sblk has 0 shapes. Save recognition layer res6p_sblk Layer res6p_sblk has 0 shapes. Save recognition layer k1_dev_bb Layer k1_dev_bb has 0 shapes. Save recognition layer k1_dev_sx Layer k1_dev_sx has 0 shapes. Save recognition layer k1_dev_sx Layer k1_dev_sx has 0 shapes. Save recognition layer res_serpentine Layer res_serpentine has 0 shapes. Save recognition layer resnw_serpentine Layer resnw_serpentine has 0 shapes. Save recognition layer ml_transmission Layer ml_transmission has 0 shapes. Save recognition layer ind2_mtml_m1 Layer ind2_mtml_m1 has 0 shapes. Save recognition layer ind2_mtml_bb Layer ind2_mtml_bb has 0 shapes. Save recognition layer symind3_mlml_m1 Layer symind3_mlml_m1 has 0 shapes. Save recognition layer symind3_mlml_bb Layer symind3_mlml_bb has 0 shapes. Save recognition layer symind2_mlml_m1 Layer symind2_mlml_m1 has 0 shapes. Save recognition layer symind2_mlml_bb Layer symind2_mlml_bb has 0 shapes. Save recognition layer coupledA Layer coupledA has 0 shapes. Save recognition layer coupledA Layer coupledA has 0 shapes. Save recognition layer coupledA Layer coupledA has 0 shapes. Save recognition layer coupledA Layer coupledA has 0 shapes. Save recognition layer coupledA Layer coupledA has 0 shapes. Save recognition layer coupledA Layer coupledA has 0 shapes. Save recognition layer singleEndA Layer singleEndA has 0 shapes. Save recognition layer singleEndA Layer singleEndA has 0 shapes. Save recognition layer singleEndA Layer singleEndA has 0 shapes. Save recognition layer singleEndA Layer singleEndA has 0 shapes. Save recognition layer singleEndA Layer singleEndA has 0 shapes. Save recognition layer singleEndA Layer singleEndA has 0 shapes. Save recognition layer coupledT Layer coupledT has 0 shapes. Save recognition layer coupledT Layer coupledT has 0 shapes. Save recognition layer coupledT Layer coupledT has 0 shapes. Save recognition layer coupledT Layer coupledT has 0 shapes. Save recognition layer coupledT Layer coupledT has 0 shapes. Save recognition layer singleEndT Layer singleEndT has 0 shapes. Save recognition layer singleEndT Layer singleEndT has 0 shapes. Save recognition layer singleEndT Layer singleEndT has 0 shapes. Save recognition layer singleEndT Layer singleEndT has 0 shapes. Save recognition layer singleEndT Layer singleEndT has 0 shapes. Save recognition layer coupled5 Layer coupled5 has 0 shapes. Save recognition layer coupled5 Layer coupled5 has 0 shapes. Save recognition layer coupled5 Layer coupled5 has 0 shapes. Save recognition layer coupled5 Layer coupled5 has 0 shapes. Save recognition layer singleEnd5 Layer singleEnd5 has 0 shapes. Save recognition layer singleEnd5 Layer singleEnd5 has 0 shapes. Save recognition layer singleEnd5 Layer singleEnd5 has 0 shapes. Save recognition layer singleEnd5 Layer singleEnd5 has 0 shapes. Save recognition layer coupled4 Layer coupled4 has 0 shapes. Save recognition layer coupled4 Layer coupled4 has 0 shapes. Save recognition layer coupled4 Layer coupled4 has 0 shapes. Save recognition layer singleEnd4 Layer singleEnd4 has 0 shapes. Save recognition layer singleEnd4 Layer singleEnd4 has 0 shapes. Save recognition layer singleEnd4 Layer singleEnd4 has 0 shapes. Save recognition layer coupled3 Layer coupled3 has 0 shapes. Save recognition layer coupled3 Layer coupled3 has 0 shapes. Save recognition layer singleEnd3 Layer singleEnd3 has 0 shapes. Save recognition layer singleEnd3 Layer singleEnd3 has 0 shapes. Save recognition layer coupled2 Layer coupled2 has 0 shapes. Save recognition layer singleEnd2 Layer singleEnd2 has 0 shapes. Save recognition layer MIMpi Layer MIMpi has 0 shapes. Save recognition layer MIMnw Layer MIMnw has 0 shapes. Save recognition layer MIMsx Layer MIMsx has 0 shapes. Save recognition layer MIMhdpi Layer MIMhdpi has 0 shapes. Save recognition layer MIMhdnw Layer MIMhdnw has 0 shapes. Save recognition layer MIMhdsx Layer MIMhdsx has 0 shapes. Save recognition layer MIMHKpi Layer MIMHKpi has 0 shapes. Save recognition layer MIMHKnw Layer MIMHKnw has 0 shapes. Save recognition layer MIMHKpc Layer MIMHKpc has 0 shapes. Save recognition layer MIMHKsx Layer MIMHKsx has 0 shapes. Save recognition layer DUALMIMpi Layer DUALMIMpi has 0 shapes. Save recognition layer DUALMIMnw Layer DUALMIMnw has 0 shapes. Save recognition layer DUALMIMsx Layer DUALMIMsx has 0 shapes. Save recognition layer DUALMIMhdpi Layer DUALMIMhdpi has 0 shapes. Save recognition layer DUALMIMhdnw Layer DUALMIMhdnw has 0 shapes. Save recognition layer DUALMIMhdsx Layer DUALMIMhdsx has 0 shapes. Save recognition layer bondPad_PC Layer bondPad_PC has 0 shapes. Save recognition layer bondPad_BB Layer bondPad_BB has 0 shapes. Save recognition layer padOverDev Layer padOverDev has 0 shapes. Save recognition layer sub_dev Layer sub_dev has 0 shapes. Save recognition layer corrPoint_dev_PD Layer corrPoint_dev_PD has 0 shapes. Save recognition layer corrPoint_dev_ND Layer corrPoint_dev_ND has 0 shapes. Save recognition layer corrPoint_dev_PC Layer corrPoint_dev_PC has 0 shapes. Save recognition layer corrPoint_dev_M1 Layer corrPoint_dev_M1 has 0 shapes. Save recognition layer corrPoint_dev_M2 Layer corrPoint_dev_M2 has 0 shapes. Save recognition layer m1_RES_min Layer m1_RES_min has 0 shapes. Save recognition layer m1_RES_min Layer m1_RES_min has 0 shapes. Save recognition layer m2_RES_min Layer m2_RES_min has 0 shapes. Save recognition layer m2_RES_min Layer m2_RES_min has 0 shapes. Save recognition layer m3_RES_min Layer m3_RES_min has 0 shapes. Save recognition layer m3_RES_min Layer m3_RES_min has 0 shapes. Save recognition layer m4_RES_min Layer m4_RES_min has 0 shapes. Save recognition layer m4_RES_min Layer m4_RES_min has 0 shapes. Save recognition layer m5_RES_min Layer m5_RES_min has 0 shapes. Save recognition layer m5_RES_min Layer m5_RES_min has 0 shapes. Save recognition layer mt_RES_min Layer mt_RES_min has 0 shapes. Save recognition layer mt_RES_min Layer mt_RES_min has 0 shapes. Save recognition layer ml_RES_min Layer ml_RES_min has 0 shapes. Save recognition layer pdiode_dev Layer pdiode_dev has 0 shapes. Save recognition layer pwell_dev Layer pwell_dev has 0 shapes. Save recognition layer piwell_dev Layer piwell_dev has 0 shapes. Save recognition layer ndiode_dev Layer ndiode_dev has 0 shapes. Save recognition layer nwdiode_dev Layer nwdiode_dev has 0 shapes. Save recognition layer nwdiode_dev1 Layer nwdiode_dev1 has 1 shapes. Copying layer ESD_nw Layer ESD_nw has 0 shapes. Copying layer pdRF_comp_sc Layer pdRF_comp_sc has 0 shapes. Copying layer pdRF_comp_dr Layer pdRF_comp_dr has 0 shapes. Copying layer ndRF_comp_sc Layer ndRF_comp_sc has 0 shapes. Copying layer ndRF_comp_dr Layer ndRF_comp_dr has 0 shapes. Copying layer ESD_ndsx_minus Layer ESD_ndsx_minus has 0 shapes. Copying layer ESD_ndsx_plus Layer ESD_ndsx_plus has 0 shapes. Copying layer ESD_pdnw_minus Layer ESD_pdnw_minus has 0 shapes. Copying layer ESD_pdnw_plus Layer ESD_pdnw_plus has 0 shapes. Copying layer all_signal Layer all_signal has 0 shapes. Copying layer k1 Layer k1 has 0 shapes. Copying layer pwell_diff Layer pwell_diff has 0 shapes. Copying layer pwell Layer pwell has 0 shapes. Copying layer efuse Layer efuse has 0 shapes. Copying layer bondPad_top Layer bondPad_top has 0 shapes. Copying layer mt_intern Layer mt_intern has 0 shapes. Copying layer ml_intern Layer ml_intern has 0 shapes. Copying layer symind_out Layer symind_out has 0 shapes. Copying layer symind_in Layer symind_in has 0 shapes. Copying layer symind_ct Layer symind_ct has 0 shapes. Copying layer m5_intern Layer m5_intern has 0 shapes. Copying layer substrate Layer substrate has 1 shapes. Copying layer ntie_top Layer ntie_top has 0 shapes. Copying layer ptie_top Layer ptie_top has 0 shapes. Copying layer ml_transmission Layer ml_transmission has 0 shapes. Copying layer ft_input Layer ft_input has 0 shapes. Copying layer ht Layer ht has 0 shapes. Copying layer qt Layer qt has 0 shapes. Copying layer bp_not_bb Layer bp_not_bb has 1 shapes. Copying layer dg Layer dg has 0 shapes. Copying layer sblk Layer sblk has 0 shapes. Copying layer op Layer op has 0 shapes. Copying layer bp Layer bp has 1 shapes. Copying layer ml Layer ml has 0 shapes. Copying layer mt_resistive Layer mt_resistive has 0 shapes. Copying layer mt_columbus Layer mt_columbus has 0 shapes. Copying layer v5_input Layer v5_input has 0 shapes. Copying layer m5_resistive Layer m5_resistive has 0 shapes. Copying layer m5_columbus Layer m5_columbus has 0 shapes. Copying layer v4_input Layer v4_input has 0 shapes. Copying layer m4_resistive Layer m4_resistive has 0 shapes. Copying layer m4_columbus Layer m4_columbus has 0 shapes. Copying layer v3_input Layer v3_input has 0 shapes. Copying layer m3_resistive Layer m3_resistive has 0 shapes. Copying layer m3_columbus Layer m3_columbus has 0 shapes. Copying layer v2_input Layer v2_input has 0 shapes. Copying layer m2_resistive Layer m2_resistive has 0 shapes. Copying layer m2_columbus Layer m2_columbus has 0 shapes. Copying layer v1_input Layer v1_input has 0 shapes. Copying layer VNCAP_new Layer VNCAP_new has 0 shapes. Copying layer m1_resistive Layer m1_resistive has 6 shapes. Copying layer m1_columbus Layer m1_columbus has 0 shapes. Copying layer ca_input Layer ca_input has 4 shapes. Copying layer ncap_nw Layer ncap_nw has 0 shapes. Copying layer mosvar_nw Layer mosvar_nw has 0 shapes. Copying layer nw Layer nw has 1 shapes. Copying layer nwell_diff Layer nwell_diff has 0 shapes. Copying layer sub_diff_grlogic Layer sub_diff_grlogic has 0 shapes. Copying layer sub_diff Layer sub_diff has 0 shapes. Copying layer ndiff_tie Layer ndiff_tie has 0 shapes. Copying layer pdiff_tie Layer pdiff_tie has 0 shapes. Copying layer nfet_sd Layer nfet_sd has 2 shapes. Copying layer pfet_sd Layer pfet_sd has 2 shapes. Copying layer res6p_bar Layer res6p_bar has 0 shapes. Copying layer res6n_bar Layer res6n_bar has 0 shapes. Copying layer res6pc_bar Layer res6pc_bar has 0 shapes. Copying layer pcap_rx Layer pcap_rx has 0 shapes. Copying layer mosvar_rx Layer mosvar_rx has 0 shapes. Copying layer pcap_pc Layer pcap_pc has 0 shapes. Copying layer mosvar_pc Layer mosvar_pc has 0 shapes. Copying layer jfet_rx Layer jfet_rx has 0 shapes. Copying layer ncap_rx Layer ncap_rx has 0 shapes. Copying layer ncap_pc Layer ncap_pc has 0 shapes. Copying layer pc_resistive Layer pc_resistive has 4 shapes. Copying layer pc_columbus Layer pc_columbus has 2 shapes. Copying layer PSBD_C Layer PSBD_C has 0 shapes. Copying layer PSBD_A Layer PSBD_A has 0 shapes. Copying layer SBD_C Layer SBD_C has 0 shapes. Copying layer SBD_A Layer SBD_A has 0 shapes. Copying layer SBDNW_C Layer SBDNW_C has 0 shapes. Copying layer SBDNW_A Layer SBDNW_A has 0 shapes. Copying layer padOverDev_top Layer padOverDev_top has 0 shapes. Summary for test1/inverter_test/av_extracted instance count totals: lib cell view total analogLib pcapacitor symbol 11 analogLib presistor symbol 8 cmrf7sf diodenwx auLvs 1 cmrf7sf nfetx auLvs 1 cmrf7sf pfetx auLvs 1 extracted view creation completed cpu: 0.33 elap: 3 pf: 14 in: 0 out: 0 virt: 0M phys: 0M INFO (LBRCXU-114): Finished /software/Cadence/ASSURA41/current_610/tools/assura/bin/rcxToDfII INFO (LBRCXM-582): Checking in license for Virtuoso_QRC_Extraction_XL 11.10 INFO (LBRCXM-702): Run ended: Mon Apr 23 23:42:42 2012 INFO (LBRCXM-708): ***** QRC terminated normally *****