Cadence (R) Virtuoso (R) Spectre (R) Circuit Simulator Version 11.1.0.617.isr19 32bit -- 4 Dec 2012 Copyright (C) 1989-2012 Cadence Design Systems, Inc. All rights reserved worldwide. Cadence, Virtuoso and Spectre are registered trademarks of Cadence Design Systems, Inc. All others are the property of their respective holders. Protected by U.S. Patents: 5,610,847; 5,790,436; 5,812,431; 5,859,785; 5,949,992; 5,987,238; 6,088,523; 6,101,323; 6,151,698; 6,181,754; 6,260,176; 6,278,964; 6,349,272; 6,374,390; 6,493,849; 6,504,885; 6,618,837; 6,636,839; 6,778,025; 6,832,358; 6,851,097; 6,928,626; 7,024,652; 7,035,782; 7,085,700; 7,143,021; 7,493,240; 7,571,401. Includes RSA BSAFE(R) Cryptographic or Security Protocol Software from RSA Security, Inc. User: andrewb Host: lnx-andrewb-w520 HostID: 7F0100 PID: 20210 Memory available: 590.1025 MB physical: 16.6797 GB CPU Type: Intel(R) Core(TM) i7-2720QM CPU @ 2.20GHz Processor PhysicalID CoreID Frequency 0 0 0 2192.8 1 0 0 2192.8 2 0 1 2192.8 3 0 1 2192.8 4 0 2 2192.8 5 0 2 2192.8 6 0 3 2192.8 7 0 3 2192.8 Simulating `testmonte.scs' on lnx-andrewb-w520 at 6:19:12 PM, Thur Dec 20, 2012 (process id: 20210). Current working directory: /export/home/andrewb/tools/spectre. Command line: \ /export/home/apps/MMSIM111_isr/tools.lnx86/spectre/bin/32bit/spectre \ +l testmonte.log testmonte.scs Loading /export/home/apps/MMSIM111_isr/tools.lnx86/cmi/lib/5.0/libinfineon_sh.so ... Loading /export/home/apps/MMSIM111_isr/tools.lnx86/cmi/lib/5.0/libphilips_o_sh.so ... Loading /export/home/apps/MMSIM111_isr/tools.lnx86/cmi/lib/5.0/libphilips_sh.so ... Loading /export/home/apps/MMSIM111_isr/tools.lnx86/cmi/lib/5.0/libsparam_sh.so ... Loading /export/home/apps/MMSIM111_isr/tools.lnx86/cmi/lib/5.0/libstmodels_sh.so ... Time for NDB Parsing: CPU = 75.988 ms, elapsed = 102.742 ms. Time accumulated: CPU = 75.988 ms, elapsed = 102.742 ms. Peak resident memory used = 25.5 Mbytes. Time for Elaboration: CPU = 22.996 ms, elapsed = 22.4929 ms. Time accumulated: CPU = 98.984 ms, elapsed = 125.527 ms. Peak resident memory used = 27.7 Mbytes. Time for EDB Visiting: CPU = 0 s, elapsed = 313.997 us. Time accumulated: CPU = 98.984 ms, elapsed = 126.101 ms. Peak resident memory used = 27.9 Mbytes. Warning from spectre during initial setup. WARNING (SPECTRE-8281): `MIXER_Q_M' is not a node nor an instance name. WARNING (SPECTRE-8286): Ignoring invalid item `MIXER_Q_M' in save statement. WARNING (SPECTRE-8281): `MIXER_Q_P' is not a node nor an instance name. WARNING (SPECTRE-8286): Ignoring invalid item `MIXER_Q_P' in save statement. WARNING (SPECTRE-8281): `MIXER_I_M' is not a node nor an instance name. WARNING (SPECTRE-8286): Ignoring invalid item `MIXER_I_M' in save statement. Circuit inventory: nodes 1 resistor 1 vsource 1 Time for parsing: CPU = 3.999 ms, elapsed = 99.3619 ms. Time accumulated: CPU = 102.983 ms, elapsed = 225.632 ms. Peak resident memory used = 28.6 Mbytes. Warning from spectre during Monte Carlo analysis `mc1'. WARNING (SPECTRE-16009): mc1: Attempt to run Monte Carlo analysis with process and mismatch variations, but no mismatch variations were specified in the statistics block. ************************************************ Monte Carlo Analysis `mc1': iteration = (1 -> 1) ************************************************ awaiting artil process initialization .... Important parameter values: seed = 1 numbins = 1 sampling = standard variations = all firstrun = 1 numruns = 1 mfactor = no donominal = yes **** Performing nominal run for `mc1' *********************************************** Transient Analysis `tran': time = (0 s -> 1 us) *********************************************** Important parameter values: start = 0 s outputstart = 0 s stop = 1 us step = 1 ns maxstep = 5 ps ic = all useprevic = no skipdc = no reltol = 1e-03 abstol(V) = 100 uV abstol(I) = 10 fA temp = 27 C tnom = 27 C tempeffects = all errpreset = moderate method = euler lteratio = 3.5 relref = sigglobal cmin = 0 F gmin = 1 pS tran: time = 25 ns (2.5 %), step = 5 ps (500 u%) tran: time = 75 ns (7.5 %), step = 5 ps (500 u%) tran: time = 125 ns (12.5 %), step = 5 ps (500 u%) tran: time = 175 ns (17.5 %), step = 5 ps (500 u%) tran: time = 225 ns (22.5 %), step = 5 ps (500 u%) tran: time = 275 ns (27.5 %), step = 5 ps (500 u%) tran: time = 325 ns (32.5 %), step = 5 ps (500 u%) tran: time = 375 ns (37.5 %), step = 5 ps (500 u%) tran: time = 425 ns (42.5 %), step = 5 ps (500 u%) tran: time = 475 ns (47.5 %), step = 5 ps (500 u%) tran: time = 525 ns (52.5 %), step = 5 ps (500 u%) tran: time = 575 ns (57.5 %), step = 5 ps (500 u%) tran: time = 625 ns (62.5 %), step = 5 ps (500 u%) tran: time = 675 ns (67.5 %), step = 5 ps (500 u%) tran: time = 725 ns (72.5 %), step = 5 ps (500 u%) tran: time = 775 ns (77.5 %), step = 5 ps (500 u%) tran: time = 825 ns (82.5 %), step = 5 ps (500 u%) tran: time = 875 ns (87.5 %), step = 5 ps (500 u%) tran: time = 925 ns (92.5 %), step = 5 ps (500 u%) tran: time = 975 ns (97.5 %), step = 5 ps (500 u%) Number of accepted tran steps = 200000 Initial condition solution time: CPU = 0 s, elapsed = 231.028 us. Intrinsic tran analysis time: CPU = 3.44648 s, elapsed = 3.55869 s. Total time required for tran analysis `tran': CPU = 3.44848 s, elapsed = 3.56085 s. Time accumulated: CPU = 3.55246 s, elapsed = 4.79016 s. Peak resident memory used = 29.6 Mbytes. finalTimeOP: writing operating point information to rawfile. ****************** DC Analysis `dcOp' ****************** Important parameter values: reltol = 1e-03 abstol(V) = 100 uV abstol(I) = 10 fA temp = 27 C tnom = 27 C tempeffects = all gmindc = 1 pS Convergence achieved in 2 iterations. Total time required for dc analysis `dcOp': CPU = 2 ms, elapsed = 2.23899 ms. Time accumulated: CPU = 3.55746 s, elapsed = 4.98177 s. Peak resident memory used = 29.7 Mbytes. dcOpInfo: writing operating point information to rawfile. modelParameter: writing model parameter values to rawfile. element: writing instance parameter values to rawfile. outputParameter: writing output parameter values to rawfile. designParamVals: writing netlist parameters to rawfile. primitives: writing primitives to rawfile. subckts: writing subcircuits to rawfile. Export: RISE_TIME = 3.57603e-08 Successfully evaluated export statements (based on return code). **** Performing monte carlo loop for `mc1' *************************************************** Transient Analysis `mc1_tran': time = (0 s -> 1 us) *************************************************** Important parameter values: start = 0 s outputstart = 0 s stop = 1 us step = 1 ns maxstep = 5 ps ic = all useprevic = no skipdc = no reltol = 1e-03 abstol(V) = 100 uV abstol(I) = 10 fA temp = 27 C tnom = 27 C tempeffects = all errpreset = moderate method = euler lteratio = 3.5 relref = sigglobal cmin = 0 F gmin = 1 pS mc1_tran: time = 25 ns (2.5 %), step = 5 ps (500 u%) mc1_tran: time = 75 ns (7.5 %), step = 5 ps (500 u%) mc1_tran: time = 125 ns (12.5 %), step = 5 ps (500 u%) mc1_tran: time = 175 ns (17.5 %), step = 5 ps (500 u%) mc1_tran: time = 225 ns (22.5 %), step = 5 ps (500 u%) mc1_tran: time = 275 ns (27.5 %), step = 5 ps (500 u%) mc1_tran: time = 325 ns (32.5 %), step = 5 ps (500 u%) mc1_tran: time = 375 ns (37.5 %), step = 5 ps (500 u%) mc1_tran: time = 425 ns (42.5 %), step = 5 ps (500 u%) mc1_tran: time = 475 ns (47.5 %), step = 5 ps (500 u%) mc1_tran: time = 525 ns (52.5 %), step = 5 ps (500 u%) mc1_tran: time = 575 ns (57.5 %), step = 5 ps (500 u%) mc1_tran: time = 625 ns (62.5 %), step = 5 ps (500 u%) mc1_tran: time = 675 ns (67.5 %), step = 5 ps (500 u%) mc1_tran: time = 725 ns (72.5 %), step = 5 ps (500 u%) mc1_tran: time = 775 ns (77.5 %), step = 5 ps (500 u%) mc1_tran: time = 825 ns (82.5 %), step = 5 ps (500 u%) mc1_tran: time = 875 ns (87.5 %), step = 5 ps (500 u%) mc1_tran: time = 925 ns (92.5 %), step = 5 ps (500 u%) mc1_tran: time = 975 ns (97.5 %), step = 5 ps (500 u%) Number of accepted tran steps = 200000 Initial condition solution time: CPU = 0 s, elapsed = 135.899 us. Intrinsic tran analysis time: CPU = 3.41848 s, elapsed = 3.73391 s. Total time required for tran analysis `mc1_tran': CPU = 3.42048 s, elapsed = 3.75807 s. Time accumulated: CPU = 7.00593 s, elapsed = 11.4283 s. Peak resident memory used = 30.1 Mbytes. mc1_finalTimeOP: writing operating point information to rawfile. ********************** DC Analysis `mc1_dcOp' ********************** Important parameter values: reltol = 1e-03 abstol(V) = 100 uV abstol(I) = 10 fA temp = 27 C tnom = 27 C tempeffects = all gmindc = 1 pS Convergence achieved in 2 iterations. Total time required for dc analysis `mc1_dcOp': CPU = 2 ms, elapsed = 2.18606 ms. Time accumulated: CPU = 7.01093 s, elapsed = 11.6303 s. Peak resident memory used = 30.1 Mbytes. mc1_dcOpInfo: writing operating point information to rawfile. mc1_modelParameter: writing model parameter values to rawfile. mc1_element: writing instance parameter values to rawfile. mc1_outputParameter: writing output parameter values to rawfile. mc1_designParamVals: writing netlist parameters to rawfile. mc1_primitives: writing primitives to rawfile. mc1_subckts: writing subcircuits to rawfile. Total time required for montecarlo analysis `mc1': CPU = 6.92395 s, elapsed = 12.8222 s. Time accumulated: CPU = 7.02693 s, elapsed = 13.0484 s. Peak resident memory used = 30.1 Mbytes. Aggregate audit (6:19:25 PM, Thur Dec 20, 2012): Time used: CPU = 7.03 s, elapsed = 13.2 s, util. = 53.3%. Time spent in licensing: elapsed = 53.8 ms. Peak memory used = 30.1 Mbytes. Simulation started at: 6:19:12 PM, Thur Dec 20, 2012, ended at: 6:19:25 PM, Thur Dec 20, 2012, with elapsed time (wall clock): 13.2 s. spectre completes with 0 errors, 7 warnings, and 0 notices.