startdate:Sat Feb 16 10:19:18 2013 crashdate:Sat Feb 16 10:40:31 2013 appname:virtuoso version:@(#)$CDS: virtuoso version 6.1.4 09/21/2011 03:10 (sjfdl066) $ subversion:IC6.1.4.500.13 mem:284065792 (total 4076978176) memavail:23080972k (total 24297576k) signal:Aborted(6) user:zheng072 host:cvlws01.cvl.ece (x86_64) os:Linux osversion: 2.6.18-308.24.1.el5 #1 SMP Tue Dec 4 17:43:34 EST 2012 x86_64 xserver:NetSarang Computer, Inc. xversion:11.0 (vendor release 3018) display:localhost:10.0 qtversion:4.4.1 cdslog:/tmp/crashReport_021613_1040_IC6.1.4.500.13_zheng072_cvlws01.cvl.ece.log.CDS.log cmd:734:hiFormDone(_peForm3) cmd:737:ibmPdkSelectObjectMinus() cmd:738:23.46:109.85 cmd:741:geQuerySelSet(getCurrentWindow()) cmd:743:hiFormCancel(_peForm4) cmd:746:leHiSave() cmd:748:hiZoomAbsoluteScale(getCurrentWindow() 0.95) cmd:750:vuiDRCRun() cmd:753:_hiFormApplyCB(vuiDRCForm) cmd:756:hiDBoxOK(filexistDBox) warning:474:*WARNING* (icLic-21) License Virtuoso_Layout_Suite_L ("95300") is not available to run Layout-L. warning:475:Trying to check out the license Virtuoso_Layout_Suite_XL ("95310") instead. warning:476:*WARNING* (icLic-3) Could not get license Virtuoso_Layout_Suite_XL warning:477:*WARNING* (icLic-21) License Virtuoso_Layout_Suite_XL ("95310") is not available to run Layout-L. warning:478:Trying to check out the license Virtuoso_Layout_Suite_GXL ("95320") instead. warning:679:*WARNING* Error while building the VDB warning:680:*WARNING* Failed to build VDB. Cannot submit DRC Run. warning:681: warning:700:*WARNING* (DB-270590): "dbHasMatchPointLists" is not supported on OpenAccess and will not be implemented. warning:716:*WARNING* (DB-270590): "dbHasMatchPointLists" is not supported on OpenAccess and will not be implemented. error:945:*FATAL*Overwriting a valid bmhdr. stack:virtuoso:dbPanic+166() stack:libvirtuos_sh.so:voDoAbortFuncs+37() stack:libvirtuos_sh.so:voSigAbort+167() stack::__kernel_sigreturn+0() stack:libc.so.6:abort+257() stack:libil_sh.so:ilExit+490() stack:libil_sh.so:iliFatal+159() stack:libvirtuos_sh.so:voiFatal+67() stack:libavview.so:bmCreate+209() stack:libavview.so:vbInitInputArrays+54() stack:libavview.so:vbCDBAToVDB+6163() stack:libavview.so:vbBuildVDB+809() stack:libavview.so:vbMemoryCellView+734() stack:libavview.so:muiMemoryCellView+35() stack:libil_sh.so:iliVcodeEval2+21194() stack:libil_sh.so:ilxSkillEval2+216() stack:libil_sh.so:iliEvalString+611() stack:libil_sh.so:_ilErrSetString+235() stack:libil_sh.so:ilErrSetString2+60() stack:virtuoso:hifFormApplyReal(iltLispval*)+425() stack:virtuoso:hifFormApplyGuts(iltLispval*)+120() stack:libil_sh.so:iliVcodeEval2+21063() stack:libil_sh.so:ilxSkillEval2+216() stack:libil_sh.so:_ilTopLevelSet+373() stack:libil_sh.so:ilxTopLevelCmd+380() stack:libil_sh.so:ilTopLevelCmd+37() stack:virtuoso:hiiPassCmdToIL+196() stack:virtuoso:processCmd(queueCmdStruct*)+219() stack:virtuoso:hiiProcessEnqueuedCmds()+201() stack:virtuoso:hiiProcessEvent+629() stack:virtuoso:cdsHiqtMotif::processEvents(QFlags)+158() stack:libQtCore.so.4:QCoreApplication::processEvents(QFlags)+73() stack:virtuoso:hiiFillCIWInPortCB+337() stack:libil_sh.so:iliBGetc+107() stack:libil_sh.so:ilGetCharPort+122() stack:libil_sh.so:input+176() stack:libil_sh.so:ilyylook+208() stack:libil_sh.so:ilyylex+28() stack:libil_sh.so:ilyyparse+1428() stack:libil_sh.so:ilReadport+562() stack:libil_sh.so:iliTopLevel+876() stack:virtuoso:hiiSubEventShell+331() stack:virtuoso:hiiDisplayForm(iltLispval*, char, int, int, char)+1432() stack:virtuoso:hifDisplayForm+357() stack:libil_sh.so:iliVcodeEval2+26932() stack:libil_sh.so:ilxSkillEval2+216() stack:libil_sh.so:_ilTopLevelSet+373() stack:libil_sh.so:ilxTopLevelCmd+380() stack:libil_sh.so:ilTopLevelCmd+37() stack:virtuoso:hiiPassCmdToIL+196() stack:virtuoso:processCmd(queueCmdStruct*)+219() stack:virtuoso:hiiProcessEnqueuedCmds()+201() stack:virtuoso:hiiProcessEvent+629() stack:virtuoso:cdsHiqtMotif::processEvents(QFlags)+158() stack:libQtCore.so.4:QCoreApplication::processEvents(QFlags)+73() stack:virtuoso:hiiFillCIWInPortCB+337() stack:libil_sh.so:iliBGetc+107() stack:libil_sh.so:ilGetCharPort+122() stack:libil_sh.so:input+176() stack:libil_sh.so:ilyylook+208() stack:libil_sh.so:ilyylex+28() stack:libil_sh.so:ilyyparse+1428() stack:libil_sh.so:ilReadport+562() stack:libil_sh.so:iliTopLevel+876() stack:virtuoso:hiMainLoop+587() stack:virtuoso:rde::startHiMainLoop()+2773() stack:virtuoso:Tcl_Main+781() stack:virtuoso:TclCommandAdaptor::mainLoop(int, char**, char const*, std::vector > const&, void (*)())+435() stack:virtuoso:finaleEntry(int, char**, char const*, bool, finaleAppHarness*)+7483() stack:virtuoso:rdeStartMain(int, char**)+61() stack:virtuoso:main+991() userenv:MANPATH=/software/sge/man:/software/sge/man: userenv:__DFII_INTEG_NC_PATH__=/software/Cadence/INCISIV/current/tools/bin userenv:QRC_HOME=/software/Cadence/PEV111 userenv:HOSTNAME=cvlws01.cvl.ece userenv:CDNS_QTDIR=/software/Cadence/IC614/tools/Qt/32bit userenv:DESKTOP_STARTUP_ID= userenv:TERM=xterm userenv:SHELL=/bin/bash userenv:CDS_LOG_VERSION=sequential userenv:HISTSIZE=1000 userenv:SSH_CLIENT=172.16.2.3 49445 22 userenv:CDS_LOAD_ENV=CSF userenv:CDS_LANG=C userenv:QTDIR=/software/Cadence/IC614/tools/QT userenv:__GL_SYNC_DISPLAY_DEVICE= userenv:__GL_SINGLE_THREADED=0 userenv:W3264_ENV=/home/zheng072/.kshrc userenv:SSH_TTY=/dev/pts/2 userenv:CDS_SET_LOCALE=C userenv:CDS_HOME=/software/Cadence/IC614 userenv:__GL_DEFAULT_LOG_ANISO=0 userenv:LC_ALL=C userenv:CLS_CDSD_COMPATIBILITY_LOCKING=NO userenv:USER=zheng072 userenv:LS_COLORS=no=00:fi=00:di=00;34:ln=00;36:pi=40;33:so=00;35:bd=40;33;01:cd=40;33;01:or=01;05;37;41:mi=01;05;37;41:ex=00;32:*.cmd=00;32:*.exe=00;32:*.com=00;32:*.btm=00;32:*.bat=00;32:*.sh=00;32:*.csh=00;32:*.tar=00;31:*.tgz=00;31:*.arj=00;31:*.taz=00;31:*.lzh=00;31:*.zip=00;31:*.z=00;31:*.Z=00;31:*.gz=00;31:*.bz2=00;31:*.bz=00;31:*.tz=00;31:*.rpm=00;31:*.cpio=00;31:*.jpg=00;35:*.gif=00;35:*.bmp=00;35:*.xbm=00;35:*.xpm=00;35:*.png=00;35:*.tif=00;35: userenv:LD_LIBRARY_PATH=/software/Cadence/IC614/tools/lib:/software/Cadence/IC614/tools/QT/lib:/software/Cadence/IC614/tools/Qt/32bit/lib:/usr/sfw/lib:/software/Cadence/IC614/share/oa/lib/linux_rhel40_32/opt:/software/Cadence/IC614/tools/QT/lib:/software/Cadence/IC614/tools/dfII/lib:/software/Cadence/IC614/tools/sev/lib:/software/Cadence/IC614/tools/lib:/software/Cadence/INCISIV/current/tools.lnx86/lib:/software/Cadence/IC614/tools/lib/SuSE/SLES11:/software/sge/lib/lx24-amd64:/software/sge/lib/lx24-amd64 userenv:TCL_LIBRARY=/software/Cadence/IC614/tools/dfII/etc/tcl8.4 userenv:CDN_SIP_INST_DIR=/software/Cadence/SPB15.7 userenv:LIBPATH=/software/Cadence/IC614/tools/lib:/software/Cadence/IC614/tools/QT/lib:/software/Cadence/IC614/tools/Qt/32bit/lib:/usr/lpp/X11/lib/R6:/usr/lib userenv:PROMPT_FIXUP=[Cadence 6.1.4] userenv:MMSIMHOME=/software/Cadence/MMSIM/current userenv:A__z="*SHLVL userenv:SPECTRE_DEFAULTS=-E userenv:PATH=/software/Cadence/IC614/bin:/software/Cadence/IC614/tools/bin:/software/Cadence/IC614/share/oa/bin:/software/sge/bin/lx24-amd64:/software/bin:/usr/kerberos/bin:/software/sge/bin/lx24-amd64:/software/bin:/usr/local/sbin:/usr/sbin:/sbin:/usr/local/bin:/bin:/usr/bin:/home/zheng072/bin:/software/Cadence/MMSIM/current/tools/bin:/software/Cadence/IC614/tools/dfII/bin:/software/Cadence/INCISIV/current/tools/bin:/software/Cadence/EDI/current/tools/bin:/software/Cadence/ASSURA41/current_610/tools/bin:/software/Cadence/ASSURA41/current_610/tools/assura/bin:/software/Cadence/PEV111/bin:/software/Cadence/ETS/current/tools/bin:/software/Cadence/SPB15.7/tools/pcb/bin:/software/Cadence/SPB15.7/tools/specctra/bin:/software/Cadence/SPB15.7/tools/bin:/software/Cadence/SPB15.7/tools/dfII/bin:/software/Cadence/SPB15.7/tools/fet/bin userenv:MAIL=/var/spool/mail/zheng072 userenv:CDS_ARCH=lnx86 userenv:DD_NO_TMPDIR_WARN=true userenv:PWD=/home/zheng072/BASIC userenv:INPUTRC=/etc/inputrc userenv:CDS_rfExamples=cds_root icms userenv:LANG=C userenv:EDIHOME=/software/Cadence/EDI/current userenv:SGE_ROOT=/software/sge userenv:CDS_MPS_SESSION=virtuoso10959 userenv:SPBHOME=/software/Cadence/SPB15.7 userenv:INCISIVHOME=/software/Cadence/INCISIV/current userenv:CDSHOME=/software/Cadence/IC614 userenv:LM_LICENSE_FILE=27002@license.ece.vt.edu userenv:CDS_Netlisting_Mode=Analog userenv:SSH_ASKPASS=/usr/libexec/openssh/gnome-ssh-askpass userenv:KRB5CCNAME=FILE:/tmp/krb5cc_1641_THfRw10857 userenv:df2BaseVhdlin=/software/Cadence/INCISIV/current userenv:SHLVL=5 userenv:HOME=/home/zheng072 userenv:LOGNAME=zheng072 userenv:ETSHOME=/software/Cadence/ETS/current userenv:OA_BIT=32 userenv:CVS_RSH=ssh userenv:XTAPPPEEKEVENT_SKIPTIMER=1 userenv:__GL_FSAA_MODE=0 userenv:SSH_CONNECTION=172.16.2.3 49445 172.16.3.1 22 userenv:CDS_INST_ROOT=/software/Cadence/IC614 userenv:LESSOPEN=|/usr/bin/lesspipe.sh %s userenv:SHLIB_PATH=/software/Cadence/IC614/tools/lib:/software/Cadence/IC614/tools/QT/lib:/software/Cadence/IC614/tools/Qt/32bit/lib:/usr/lib userenv:DISPLAY=localhost:10.0 userenv:SOURCE_FILES=/software/bin/SETUP-Cadence61 userenv:__GL_SYNC_TO_VBLANK=0 userenv:G_BROKEN_FILENAMES=1 userenv:ASSURAHOME=/software/Cadence/ASSURA41/current_610 cdsinit:/* This is a sample .cdsinit for Cadence DFII. This is the cdsinit: sample site initialization file supplied by Cadence under cdsinit: /samples/local/cdsinit cdsinit: cdsinit: A&MS additions are appended at the end of the file. */ cdsinit: cdsinit: cdsinit: cdsinit:/* cdsinit: filepath: /cdsuser/.cdsinit cdsinit: dfII version: 4.4 cdsinit: cdsinit: This file can be copied into a users home or project directory cdsinit: and customized. cdsinit: cdsinit: The site initialization file in /local/.cdsinit should cdsinit: set all the defaults for the site. cdsinit: cdsinit: For more information on site initialization look at the files cdsinit: cdsinit: /samples/local/ cdsinit: cdsinit cdsinit: aaConfig.il cdsinit: dciConfig.il cdsinit: metConfig.il cdsinit: sysConfig.il cdsinit: uiConfig.il cdsinit: cdsinit: cdsinit: cdsinit: The sample site initialization file supplied is cdsinit: cdsinit: /samples/local/cdsinit cdsinit: cdsinit: The site administrator should have moved this to cdsinit: cdsinit: /local/.cdsinit cdsinit: cdsinit: and customized it. cdsinit: cdsinit:################################################################### cdsinit: Please read the entire file and the comments before you start cdsinit: customizing the file. cdsinit: cdsinit: There are bind key definition files supplied for different cdsinit: applications. The relevant bind key definitions files must cdsinit: be loaded if you want bind keys defined for that application. cdsinit: See section LOAD APPLICATION BIND KEY DEFINITIONS. cdsinit:################################################################### cdsinit: cdsinit: The user may copy portions from the above files into the cdsinit: home or project customization file and modify the defaults. cdsinit: cdsinit: cdsinit: It is recommended that the user copy only portions as opposed cdsinit: to copying the whole files. cdsinit: cdsinit: Appropriate items for the user's customization file are cdsinit: cdsinit: 1. Library search path cdsinit: 2. Specific bind keys cdsinit: 3. Custom SKILL procedures cdsinit: 4. User preference options - examples in uiConfig.il cdsinit: 5. Form placements - examples in uiConfig.il cdsinit: cdsinit: In order for any window placements to work correctly the following cdsinit: X resource must be set in the .Xdefaults or .xresources file cdsinit: pertaining to your hardware platform. cdsinit: cdsinit: Mwm*clientAutoPlace: False cdsinit: cdsinit: After setting the resource read in the resource file with the command cdsinit: cdsinit: xrdb cdsinit: cdsinit: and restart the Motif window manager. cdsinit: cdsinit: The function cdsinit: prependInstallPath("string") cdsinit: adds the installation path to the string argument cdsinit: For this reason there should NOT be a space at the beginning of the cdsinit: string. cdsinit: There SHOULD be a space at the end of the string if more paths are to cdsinit: follow. cdsinit: This function is used to make path specification in this file cdsinit: independant of the exact installation path. cdsinit: cdsinit: The function let() creates local variables ( example: libPath ). cdsinit: This makes sure that any global variables are not accidentally modified. cdsinit: cdsinit:*/ cdsinit:; cdsinit:;################################################ cdsinit:;# cdsinit:;# SETTINGS FOR SKILL PATH and SKILL PROGRAMMING cdsinit:;# cdsinit:;################################################ cdsinit:; cdsinit:; The function sstatus() sets the status of variables cdsinit:; The variable writeProtect controls if a SKILL function can be cdsinit:; redefined or not; cdsinit:; cdsinit:; Any functions defined after writeProtect = t CANNOT be redefined cdsinit:; Any functions defined after writeProtect = nil CAN be redefined cdsinit:; If you are going to create SKILL programs and define functions set the cdsinit:; status of writeProtect to nil at the beginning of your session. cdsinit:; cdsinit:; Set skill search path. The SKILL search path contains directories cdsinit:; to be searched to locate SKILL programs when program names are cdsinit:; specified without full path names. cdsinit:; The operation could be reading, writing or loading a SKILL program. cdsinit:; cdsinit:; Source technology files are considered SKILL files and when loading cdsinit:; or dumping the technology file SKILL search path will be used. cdsinit:; cdsinit:; cdsinit: cdsinit:sstatus(writeProtect nil) cdsinit: cdsinit:let((skillPath) cdsinit: skillPath= strcat( cdsinit: ". ~ " ; Current & home directory cdsinit: prependInstallPath("samples/techfile ") ; sample source technology files cdsinit: ) cdsinit: setSkillPath(skillPath) cdsinit:) cdsinit:; cdsinit:; cdsinit:;################################################ cdsinit:;# cdsinit:;# LOAD APPLICATION BIND KEY DEFINITIONS cdsinit:;# cdsinit:;################################################ cdsinit:; cdsinit:; The bind keys supplied with the Cadence software should have cdsinit:; been loaded by the site .cdsinit file. cdsinit:; This file also loads them in case they were not loaded by the cdsinit:; site customization file. cdsinit:; cdsinit:; In case they are loaded by the site .cdsinit prevent reloading by cdsinit:; adding the comment ; to the beginning of the line containing the cdsinit:; specific file name in the list bindKeyFileList below. cdsinit:; cdsinit:; If you load the bind key definition file but the application is not cdsinit:; registered ( product not licensed or checked out ) then you might get cdsinit:; a warning that looks like cdsinit:; cdsinit:; *WARNING* "Schematics is not registered yet" cdsinit:; cdsinit:; This warning can be ignored if you know that the product is not cdsinit:; licensed or checked out. cdsinit:; cdsinit:; cdsinit:let( (bindKeyFileList file path saveSkillPath) cdsinit: bindKeyFileList = '( cdsinit: "leBindKeys.il" cdsinit: "schBindKeys.il" cdsinit: ) cdsinit: cdsinit:; this is the path that is searched for the files cdsinit: path = strcat( cdsinit: ". ~ " cdsinit: prependInstallPath("local ") cdsinit: prependInstallPath("samples/local") cdsinit: ) cdsinit: saveSkillPath=getSkillPath() cdsinit: setSkillPath(path) cdsinit:; cdsinit:; cdsinit: cdsinit: foreach(file bindKeyFileList cdsinit: if(isFile(file) then cdsinit: loadi(file) cdsinit: ) cdsinit: ) cdsinit: cdsinit: setSkillPath(saveSkillPath) cdsinit:) cdsinit: cdsinit:; cdsinit:; cdsinit:; An individual user may wish to add some bindkeys of his/her own or cdsinit:; over ride some default loaded bindkeys. For more information about cdsinit:; bindkeys see the manual "SKILL Reference Manual, Language Fundamentals", cdsinit:; Chapter 4. cdsinit:; cdsinit:; Here is an example of setting one bindkey on "F2" than prints cdsinit:; "Hello world" to the CIW when pressed in the CIW. cdsinit:;hiSetBindKey("Command Interpreter" "F2" "printf(\"Hello World\")") cdsinit:; cdsinit:; Here is an example of setting keys for more than one application cdsinit:; cdsinit:;let( (app appList) cdsinit:; appList = '( cdsinit:; "Command Interpreter" cdsinit:; "Schematics" cdsinit:; "Symbol" cdsinit:; cdsinit:; Add other applications here cdsinit:; cdsinit:; ) cdsinit:; foreach(app appList cdsinit:; hiSetBindKey(app "F4" "printf(\"Hello \")") cdsinit:; hiSetBindKey(app "F5" "printf(\"World\")") cdsinit:; cdsinit:; Add more bind keys here cdsinit:; cdsinit:; cdsinit:; ) cdsinit:;) cdsinit:; cdsinit:; cdsinit:;################################################ cdsinit:;# cdsinit:;# MISCELLANEOUS cdsinit:;# cdsinit:;################################################ cdsinit:; cdsinit:; cdsinit:; Set your own prompt in the CIW. The first argument is the prompt. cdsinit:; The second argument is not used yet. cdsinit:; cdsinit:; The variable editor defines the text editor to be used when any of cdsinit:; the applications invoke an editor. For example technology dump and edit cdsinit:; operation opens an editor window. cdsinit:; cdsinit:; The editor may also be set by cdsinit:; cdsinit:; unix environment variable EDITOR cdsinit:; cdsinit:; setenv EDITOR 'xedit' cdsinit:; cdsinit:; cdsinit:; When Design Framework is invoked the SKILL variable editor is set to the cdsinit:; value of the unix variable EDITOR. cdsinit:; cdsinit:; If EDITOR is not defined the SKILL variable cdsinit:; editor is set to "vi" cdsinit:; cdsinit:; You may also set the variable to execute a UNIX command cdsinit:; that invokes an xterm window of given size and location cdsinit:; and starts an editor program. cdsinit:; Example: cdsinit:; cdsinit:; editor = "xterm -geometry 80x40 -e emacs" cdsinit:; cdsinit:; Size of xterm in the above example is 80 characters by 40 lines. cdsinit:; With some editors on certain platforms the variable editor must cdsinit:; be defined as shown above. cdsinit:; cdsinit:; Some application which require a text editor may be using the UNIX cdsinit:; variable EDITOR instead of the SKILL variable editor. It is a good cdsinit:; idea to set the UNIX variable EDITOR to the text editor of your cdsinit:; choice which will automatically set the SKILL variable editor. cdsinit:; cdsinit:; cdsinit:;setPrompts("Ready >" "") cdsinit:;editor = "xterm -geometry 85x50 -e vi"; cdsinit:; cdsinit:; cdsinit:; ENVIRONMENT VARIABLES cdsinit:; Schematic, Layout and Graphic environment variable defaults are now found in cdsinit:; /etc/tools/ cdsinit:; layout/.cdsenv cdsinit:; schematic/.cdsenv cdsinit:; graphic/.cdsenv cdsinit:; cdsinit:; These can be customized in the user's ./cdsenv and ~/.cdsenv files. cdsinit:; A .cdsenv file can be created by using CIW->options->save defaults. cdsinit:; cdsinit:printf("END OF USER CUSTOMIZATION\n") cdsinit:; cdsinit:;END OF USER CUSTOMIZATION cdsinit: cdsinit: cdsinit: cdsinit:; cdsinit:; Schematic cellview to cellview defaults for creating a symbol with Artist cdsinit:; IL interpreted labels. The default is to not create an Artist symbol. cdsinit:; cdsinit:schSetEnv( "tsgTemplateType" "artist" ) cdsinit:;|||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||| cdsinit:; General Analog Simulation Environment Setup... cdsinit:;|||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||| cdsinit: cdsinit:; The following is a list of simulation tool parameters which cannot be cdsinit:; set by way of the Artist User Interface Options forms and therefore cdsinit:; can only be defined in the user's .cdsinit file. cdsinit: cdsinit:; If the value of numberOfSavedRuns is negative, no data will be copied or cdsinit:; deleted. cdsinit:; If the value is a non-negative integer, then that number of past runs cdsinit:; (It is assumed that the run names are non-negative integers, all others cdsinit:; are ignored) are saved. cdsinit:; (0 means don't copy the data at all, and delete all other cdsinit:; runs), otherwise all past runs are saved. cdsinit:; cdsinit:; envSetVal( "asimenv.misc" "numberOfSavedRuns" 'int 0 ) cdsinit: cdsinit:; By default, component CDF is not automatically updated when design cdsinit:; variables are copied to the cellview. To enable auto updating, cdsinit:; specify the following line in your .cdsinit file: cdsinit:; cdsinit:; artEnableAutoDesignVarCdfUpdate() cdsinit:; cdsinit:; artDisableAutoDesignVarCdfUpdate() can be used to disable auto updating. cdsinit:; artGetAutoDesignVarCdfUpdate() will return t if auto updating is enabled, cdsinit:; or nil otherwise. cdsinit: cdsinit:;|||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||| cdsinit: cdsinit:; WAVEFORM WINDOW DEFAULTS cdsinit:;|||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||| cdsinit:; cdsinit:; This section explains how waveform window defaults can be overridden. cdsinit:; cdsinit:; Waveform window/subwindow options can be set using awvSetOptionValue(). cdsinit:; E.g., if you want your subwindow to be in the strip mode when it is opened, cdsinit:; add the following line to .cdsinit: cdsinit:; cdsinit:; awvSetOptionValue( "mode" "strip" ) cdsinit:; cdsinit:; Option names may be strings or symbols, e.g., cdsinit:; cdsinit:; awvSetOptionValue( 'mode "strip" ) cdsinit:; cdsinit:; is legal. The complete list of options is included below. cdsinit:; cdsinit:; cdsinit:; Boolean options: cdsinit:; ---------------- cdsinit:; cdsinit:; Name Purpose Default cdsinit:; ---- _______ ------- cdsinit:; cdsinit:; cursorSuppressed Tracking cursor nil cdsinit:; cdsinit:; dateStamp Date stamp cdsinit:; (top right corner) nil cdsinit:; cdsinit:; displayAxes Display axes t cdsinit:; cdsinit:; displayAxesBy125 Axis labels by 1-2-5 nil cdsinit:; cdsinit:; displayAxesLabel Axes labels t cdsinit:; cdsinit:; displayGrids Grid lines nil cdsinit:; cdsinit:; displayMajorTicks Major tick marks t cdsinit:; cdsinit:; displayMinorTicks Minor tick marks t cdsinit:; cdsinit:; updateStatus Updatability t cdsinit:; cdsinit:; xLog Log axis (X) nil cdsinit:; cdsinit:; cdsinit:; String options: cdsinit:; --------------- cdsinit:; cdsinit:; Name Purpose Default Other choices cdsinit:; ---- ------- ------- ------------- cdsinit:; cdsinit:; cursorAction Snap to waveform or cdsinit:; actual data points "line" "data point" cdsinit:; cdsinit:; cursorPhase Phase display (Smith) "degree" "radian" cdsinit:; cdsinit:; cursorValue Value display (Smith) "normalized impedance" cdsinit:; "normalized admittance" cdsinit:; "reflection coefficient" cdsinit:; cdsinit:; mode Mode type "composite" "strip" cdsinit:; "smith" cdsinit:; cdsinit:; smithModeType Smith Mode type "impedance" "admittance" cdsinit:; "polar" cdsinit:; cdsinit:; stripModeType Strip Mode type "analogStrip" cdsinit:; "analogComposite" cdsinit:; cdsinit:; style Plot style "auto" "bar" cdsinit:; "scatterPlot" cdsinit:; "joined" cdsinit: cdsinit:; cdsinit:; Integer options: cdsinit:; ---------------- cdsinit:; cdsinit:; Name Purpose Default Other choices cdsinit:; ---- ------- ------- ------------- cdsinit:; cdsinit:; cursorPrecision Number of digits cdsinit:; of cursor output 4 Any integer cdsinit:; greater than 2 cdsinit:; and less than cdsinit:; 16 cdsinit:; cdsinit:; numIdentifier Number of identifiers cdsinit:; per waveform 6 Any positive cdsinit:; integer or cdsinit:; nil to get all cdsinit:; cdsinit:; cdsinit:; Hard copy options: cdsinit:; ------------------ cdsinit:; cdsinit:; Name Purpose Default Other choices cdsinit:; ---- ------- ------- ------------- cdsinit:; cdsinit:; hcCopyNum Number of copies 1 Any positive cdsinit:; integer cdsinit:; cdsinit:; hcDisplay Display name "display" Defined in cdsinit:; the techfile cdsinit:; cdsinit:; hcOrientation Plot orientation "automatic" "portrait" cdsinit:; "landscape" cdsinit:; cdsinit:; hcOutputFile Plot to file only nil Name of the cdsinit:; output file cdsinit:; cdsinit:; hcPaperSize Plot paper size Specified in .cdsplotinit cdsinit:; cdsinit:; hcPlotterName Plotter name Specified in .cdsplotinit cdsinit:; cdsinit:; hcTmpDir Temporary scratch "/usr/tmp" Name of the cdsinit:; space temp directory cdsinit:; cdsinit:; cdsinit:; Note: Typing awvSetOptionValue( name value ) into the CIW will affect cdsinit:; waveform windows/subwindows opened after that. To restore an option cdsinit:; to its default value, type awvSetOptionDefault( name ). cdsinit: cdsinit: cdsinit:/* A&MS customization */ cdsinit: cdsinit:_stacktrace = 7 cdsinit: cdsinit:/* Skill commands for user customization */ cdsinit:hiSetFilterOptions(t t t t t t t) /* Log filter options */ cdsinit:hiGetCIWindow()->displayMouseBinding = t cdsinit:hiGetCIWindow()->scrollBars = t /* Turn on scroll bars */ cdsinit:hiGetCIWindow()->infix = nil /* Turn off infix */ cdsinit:hiGetCIWindow()->displayMouseBinding = t cdsinit:hiSetUndoLimit(10) /* Allow 10 undos */ cdsinit:hiSetMultiClickTime(500) /* Set double click=500 */ cdsinit:hiFormApply( hiSysProps ) cdsinit: cdsinit:leSetEnv("modalCommands" t) cdsinit:leSetEnv("displayRefPoint" t) cdsinit:leSetEnv("autoSetRefPoint" nil) cdsinit:leSetEnv("gravityOn" t) cdsinit:leSetEnv("gravityType" list("centerline" "edge" "vertex" "end")) cdsinit:leSetEnv("gravityAperture" 3.0) cdsinit:leSetEnv("gravityDepth" 20) cdsinit:leSetEnv("flattenMode" "one level") cdsinit:leSetEnv("flattenPCells" t) cdsinit: cdsinit:;; The global variable SysHardware should be set to the keyboard type in use. cdsinit:;; Default setting for SysHardware is "SUN5". Other options are "AIX" or "SUN4". cdsinit:;;SysHardware = "SUN5" cdsinit:;; cdsinit:;; The global variable AMSPath must be customized to your installation path. cdsinit:;; This variable is used in many A&MS SKILL procedures. Please update. cdsinit:;; cdsinit:;; The procAMS.cxt file registers Cadence trigger functions for all editors. cdsinit:;; This will place the banner, AMSutils, on each edit session cdsinit:;; A&MS trigger functions are cdsinit:;; schAMSTrigger for schematic and symbol editors. cdsinit:;; leAMSTrigger for layout and extract editors. cdsinit:;; cdsinit:;; If the A&MS trigger functions are unregistered by the customer site, the cdsinit:;; AMSutils banner may be placed by issuing the procedure placeAMSMenu(). cdsinit:;; This function is bound to the PF11 key, or the "Stop" key on the SUN5 cdsinit:;; keyboard. cdsinit:;; cdsinit: cdsinit:;;Uncomment the following line for Sequence Columbus-RF cdsinit:;;load(strcat(getShellEnvVar("SEQUENCE_ROOT") "/lib/skill/cdsinit")) cdsinit: cdsinit:;;; 1.0 Section for IBM AMS menus and procedures (Old - Now commented) cdsinit:/* cdsinit:AMSPath="/home/zheng072/IBM7RF_AM/IBM_PDK/" cdsinit: cdsinit: cond( cdsinit: ( rexMatchp("4.4.5" getVersion()) warn( "4.4.5 is not supported") ) cdsinit: ( rexMatchp("4.4.6" getVersion()) warn( "4.4.6 is not supported") ) cdsinit: ( rexMatchp(" 5[.]0" getVersion()) warn( "5.0.X is not supported") ) ; PhH 16046 IC5.0 no more suported cdsinit:; ( rexMatchp(" 5[.]0" getVersion()) loadContext(strcat( AMSPath "cmrf7sf/V2.0.0.0AM/cdslib/Skill/procAMS500.cxt")) cdsinit:; hiRegTimer("trInsertMenu()" 1)) cdsinit: ( rexMatchp(" 5[.]1" getVersion()) loadContext(strcat( AMSPath "cmrf7sf/V2.0.0.0AM/cdslib/Skill/procAMS510.cxt")) cdsinit: hiRegTimer("trInsertMenu()" 1)) cdsinit: ) cdsinit: cdsinit:AMSutils() cdsinit: cdsinit:;;Uncomment the following lines to override AMS utils DIVA switch settings cdsinit:;;ams->DIVAdrcSwitches = "GridCheck" cdsinit:;;ams->DIVAextSwitches = "resimulate_extracted" cdsinit: cdsinit:load(strcat( AMSPath "cmrf7sf/V2.0.0.0AM/cdslib/Skill/bindkeyAMS.il")) cdsinit:*/ cdsinit: cdsinit:;;;; 2.0 Section for IBM PDK menus and procedures (New with V1700 kit) cdsinit:ibmPdkPath="/home/zheng072/IBM7RF_AM/IBM_PDK/" cdsinit: cdsinit:cond( cdsinit: ( rexMatchp("4.4.5" getVersion()) warn( "4.4.5 is not supported") ) cdsinit: ( rexMatchp("4.4.6" getVersion()) warn( "4.4.6 is not supported") ) cdsinit: ( rexMatchp("5[.]0" getVersion()) warn( "5.0.x is not supported") ) cdsinit: ( rexMatchp("5[.]1" getVersion()) loadContext(strcat( ibmPdkPath "cmrf7sf/V2.0.0.0AM/cdslib51/Skill/ibmPdkProcs510.cxt")) cdsinit: hiRegTimer("ibmPdkInit()" 1) ) cdsinit: ( rexMatchp("6[.]1" getVersion()) loadContext(strcat( ibmPdkPath "cmrf7sf/V2.0.0.0AM/cdslib/Skill/ibmPdkProcs610.cxt")) cdsinit: hiRegTimer("ibmPdkInit()" 1) ) cdsinit:);cond cdsinit: cdsinit:;; Uncomment the following lines to override ibmPdk default DIVA switch settings cdsinit:; ibmPdk->DIVAdrcSwitches = "GridCheck" cdsinit:; ibmPdk->DIVAextSwitches = "resimulate_extracted" cdsinit: cdsinit:cond( cdsinit: ( rexMatchp("5[.]1" getVersion()) load(strcat(ibmPdkPath "cmrf7sf/V2.0.0.0AM/cdslib51/Skill/ibmPdkBindkeys.il")) ) cdsinit: ( rexMatchp("6[.]1" getVersion()) load(strcat(ibmPdkPath "cmrf7sf/V2.0.0.0AM/cdslib/Skill/ibmPdkBindkeys.il")) ) cdsinit:) cdsinit: cdsinit:;;;; 3.0 Calibre Setup cdsinit:; Load Calibre Skill Interface if environment var is set cdsinit:mgc_home=getShellEnvVar("MGC_HOME") cdsinit: cdsinit:if( mgc_home!=nil && isDir(mgc_home) && isReadable(mgc_home) then cdsinit: ; Load calibre.skl for Cadence versions 4.4 and greater cdsinit: load(strcat(mgc_home "/shared/pkgs/icv/tools/queryskl/calibre.skl")) cdsinit:else cdsinit: ; MGC_HOME is not set cdsinit: printf("Calibre Skill Interface not loaded.\n") cdsinit:) cdsinit:;; End of Calibre Skill Interface cdsinit: cdsinit:;;;; 4.0 Uncomment the following line for Sequence Columbus-RF cdsinit:; load(strcat(getShellEnvVar("SEQUENCE_ROOT") "/lib/skill/cdsinit")) xdpyinfo:name of display: localhost:10.0 xdpyinfo:version number: 11.0 xdpyinfo:vendor string: NetSarang Computer, Inc. xdpyinfo:vendor release number: 3018 xdpyinfo:maximum request size: 16777212 bytes xdpyinfo:motion buffer size: 256 xdpyinfo:bitmap unit, bit order, padding: 32, LSBFirst, 32 xdpyinfo:image byte order: LSBFirst xdpyinfo:number of supported pixmap formats: 7 xdpyinfo:supported pixmap formats: xdpyinfo: depth 1, bits_per_pixel 1, scanline_pad 32 xdpyinfo: depth 4, bits_per_pixel 4, scanline_pad 32 xdpyinfo: depth 8, bits_per_pixel 8, scanline_pad 32 xdpyinfo: depth 15, bits_per_pixel 16, scanline_pad 32 xdpyinfo: depth 16, bits_per_pixel 16, scanline_pad 32 xdpyinfo: depth 24, bits_per_pixel 32, scanline_pad 32 xdpyinfo: depth 32, bits_per_pixel 32, scanline_pad 32 xdpyinfo:keycode range: minimum 8, maximum 255 xdpyinfo:focus: PointerRoot xdpyinfo:number of extensions: 18 xdpyinfo: BIG-REQUESTS xdpyinfo: DAMAGE xdpyinfo: DEC-XTRAP xdpyinfo: DOUBLE-BUFFER xdpyinfo: Extended-Visual-Information xdpyinfo: MIT-SUNDRY-NONSTANDARD xdpyinfo: RECORD xdpyinfo: RENDER xdpyinfo: SECURITY xdpyinfo: SHAPE xdpyinfo: SYNC xdpyinfo: TOG-CUP xdpyinfo: XC-APPGROUP xdpyinfo: XC-MISC xdpyinfo: XFIXES xdpyinfo: XFree86-Bigfont xdpyinfo: XInputExtension xdpyinfo: XTEST xdpyinfo:default screen number: 0 xdpyinfo:number of screens: 1 xdpyinfo:screen #0: xdpyinfo: dimensions: 1600x860 pixels (452x243 millimeters) xdpyinfo: resolution: 90x90 dots per inch xdpyinfo: depths (7): 1, 4, 8, 15, 16, 24, 32 xdpyinfo: root window id: 0x48 xdpyinfo: depth of root window: 24 planes xdpyinfo: number of colormaps: minimum 1, maximum 1 xdpyinfo: default colormap: 0x20 xdpyinfo: default number of colormap cells: 256 xdpyinfo: preallocated pixels: black 0, white 16777215 xdpyinfo: options: backing-store YES, save-unders YES xdpyinfo: largest cursor: 32x32 xdpyinfo: current input event mask: 0xf80000 xdpyinfo: SubstructureNotifyMask SubstructureRedirectMask FocusChangeMask xdpyinfo: PropertyChangeMask ColormapChangeMask xdpyinfo: number of visuals: 6 xdpyinfo: default visual id: 0x26 xdpyinfo: visual: xdpyinfo: visual id: 0x21 xdpyinfo: class: PseudoColor xdpyinfo: depth: 8 planes xdpyinfo: available colormap entries: 256 xdpyinfo: red, green, blue masks: 0x0, 0x0, 0x0 xdpyinfo: significant bits in color specification: 8 bits xdpyinfo: visual: xdpyinfo: visual id: 0x22 xdpyinfo: class: DirectColor xdpyinfo: depth: 8 planes xdpyinfo: available colormap entries: 8 per subfield xdpyinfo: red, green, blue masks: 0x7, 0x38, 0xc0 xdpyinfo: significant bits in color specification: 8 bits xdpyinfo: visual: xdpyinfo: visual id: 0x23 xdpyinfo: class: GrayScale xdpyinfo: depth: 8 planes xdpyinfo: available colormap entries: 256 xdpyinfo: red, green, blue masks: 0x0, 0x0, 0x0 xdpyinfo: significant bits in color specification: 8 bits xdpyinfo: visual: xdpyinfo: visual id: 0x24 xdpyinfo: class: StaticColor xdpyinfo: depth: 8 planes xdpyinfo: available colormap entries: 256 xdpyinfo: red, green, blue masks: 0x0, 0x0, 0x0 xdpyinfo: significant bits in color specification: 8 bits xdpyinfo: visual: xdpyinfo: visual id: 0x25 xdpyinfo: class: StaticGray xdpyinfo: depth: 8 planes xdpyinfo: available colormap entries: 256 xdpyinfo: red, green, blue masks: 0x0, 0x0, 0x0 xdpyinfo: significant bits in color specification: 8 bits xdpyinfo: visual: xdpyinfo: visual id: 0x26 xdpyinfo: class: TrueColor xdpyinfo: depth: 24 planes xdpyinfo: available colormap entries: 256 per subfield xdpyinfo: red, green, blue masks: 0xff0000, 0xff00, 0xff xdpyinfo: significant bits in color specification: 8 bits sysconf:===================================================================== sysconf:[Copyright 2002-2010] Cadence Design Systems, Inc. All rights reserved. sysconf:This program and online documentation may not be copied, modified, sysconf:re-published, uploaded, executed, or distributed in any way, in any sysconf:medium, whether in whole or in part, without prior written permission sysconf:from Cadence Design Systems, Inc. sysconf:===================================================================== sysconf:============== checkSysConf: Version 3.16 ==================== sysconf:Configuration checks failed, status is: FAIL sysconf:The specified software release 'IC6.1.4' is not supported sysconf:for your platform / OS (x86_64 / ) sysconf:Type checkSysConf -r to see the current versions sysconf:Exiting checkSysConf ... Good-bye sysconf:Output is saved as /tmp/checkSysConf.cvlws01.cvl.ece-2013.02.16.10:40.log