uit Simulator Version 15.1.0.801.isr17 64bit -- 19 Apr 2017 Copyright (C) 1989-2017 Cadence Design Systems, Inc. All rights reserved worldwide. Cadence, Virtuoso and Spectre are registered trademarks of Cadence Design Systems, Inc. All others are the property of their respective holders. Includes RSA BSAFE(R) Cryptographic or Security Protocol Software from RSA Security, Inc. HostID: 428454C2 PID: 19630 Memory available: 2.0861 GB physical: 33.6702 GB Linux : Red Hat Enterprise Linux Server release 6.9 (Santiago) CPU Type: Intel(R) Xeon(R) CPU E5420 @ 2.50GHz All processors running at 1998.0 MHz Socket: Processors 0: 0, 2, 4, 6 1: 1, 3, 5, 7 System load averages (1min, 5min, 15min) : 2.4 %, 3.0 %, 4.6 % Simulating `input.scs' on micron.eng.tau.ac.il at 5:38:51 PM, Sun Jan 27, 2019 (process id: 19630). Current working directory: /data.cc/data/a/home/cc/ex3_oscilator_3_33_single_ended_injection/spectre/schematic/netlist Command line: /eda_disk/cadence/tools/MMSIM/151/tools/bin/spectre -64 input.scs \ +escchars +log ../psf/spectre.out +inter=mpsc \ +mpssession=spectre0_14443_1 -format psfxl -raw ../psf \ +lqtimeout 900 -maxw 5 -maxn 5 spectre pid = 19630 Loading /eda_disk/cadence/tools/MMSIM/151/tools.lnx86/cmi/lib/64bit/5.0/libinfineon_sh.so ... Loading /eda_disk/cadence/tools/MMSIM/151/tools.lnx86/cmi/lib/64bit/5.0/libphilips_o_sh.so ... Loading /eda_disk/cadence/tools/MMSIM/151/tools.lnx86/cmi/lib/64bit/5.0/libphilips_sh.so ... Loading /eda_disk/cadence/tools/MMSIM/151/tools.lnx86/cmi/lib/64bit/5.0/libsparam_sh.so ... Loading /eda_disk/cadence/tools/MMSIM/151/tools.lnx86/cmi/lib/64bit/5.0/libstmodels_sh.so ... Reading file: /data.cc/data/a/home/cc/students/enginer/yafimv/simulation/ex3_oscilator_3_33_single_ended_injection/spectre/schematic/netlist/input.scs Reading file: /eda_disk/cadence/tools/MMSIM/151/tools.lnx86/spectre/etc/configs/spectre.cfg Reading file: /eda_disk/cadence/tools/MMSIM/151/tools.lnx86/spectre/etc/configs/mapsubckt.cfg Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_25IO_NVT_V021.lib.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_25IO_NVT_V021.mdl.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_25IO_V111.lib.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_25IO_V111.mdl.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_NCAP25_V113.lib.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_NCAP25_V113.mdl.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_varmis_25_rf_V011.lib.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_varmis_25_rf_V011.mdl.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_33IO_GOX52_VT21.lib.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_33IO_GOX52_VT21.mdl.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_25IO_RF_V021.lib.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_25IO_RF_V021.mdl.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90-resistor-control-V041.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_res.va Reading link: /eda_disk/cadence/tools/MMSIM/151/tools.lnx86/spectre/etc/ahdl/constants.h Reading file: /eda_disk/cadence/tools/MMSIM/151/tools.lnx86/spectre/etc/ahdl/constants.vams Reading link: /eda_disk/cadence/tools/MMSIM/151/tools.lnx86/spectre/etc/ahdl/discipline.h Reading file: /eda_disk/cadence/tools/MMSIM/151/tools.lnx86/spectre/etc/ahdl/disciplines.vams Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_r_ppo_V031.lib.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_r_ppo_V031.mdl.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_r_npo_V031.lib.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_r_npo_V031.mdl.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_rnhr_V031.lib.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_rnhr_V031.mdl.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_rsnwell_V031.lib.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_rsnwell_V031.mdl.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_r_nd_V031.lib.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_r_nd_V031.mdl.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_r_pd_V031.lib.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_r_pd_V031.mdl.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_r_metal_V011.lib.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_r_metal_V011.mdl.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_BJT_V111.lib.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_BJT_V111.mdl.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_DIODE_V101.mdl.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_LL12_RF_V021.lib.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_LL12_RF_V021.mdl.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_LLLVT12_RF_VTAB.lib.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_LLLVT12_RF_VTAB.mdl.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_LL12_V102.lib.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_LL12_V102.mdl.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_LLHVT12_V101.lib.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_LLHVT12_V101.mdl.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_LLLVT12_V102.lib.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_LLLVT12_V102.mdl.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_LLNVT12_V011.lib.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_LLNVT12_V011.mdl.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90SP_NCAP10_V112.lib.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90SP_NCAP10_V112.mdl.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_NCAP12_LL_V102.lib.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_NCAP12_LL_V102.mdl.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_SP10_V061.lib.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_SP10_V061.mdl.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_SPHVT10_V111.lib.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_SPHVT10_V111.mdl.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_SPLVT10_V102.lib.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_SPLVT10_V102.mdl.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_SPNVT10_V011.lib.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_SPNVT10_V011.mdl.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_mimcaps_20f_kf_V011.lib.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_mimcaps_20f_kf_V011.mdl.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_momcaps_V041.lib.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_momcaps_V041.mdl.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_vardiop_rf_v011.lib.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_vardiop_rf_v011.mdl.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_varmis_12_llrf_V021.lib.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_varmis_12_llrf_V021.mdl.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/momcaps_array_vp3_rfvcl_V011.lib.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/momcaps_array_vp3_rfvcl_V011.typ.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/momcaps_array_vp4_rfvcl_V011.lib.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/momcaps_array_vp4_rfvcl_V011.typ.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/rnhr_rf_V011.lib.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/rnhr_rf_V011.mdl.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/res_poly.va Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/rnnpo_rf_V011.lib.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/rnnpo_rf_V011.mdl.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/rnppo_rf_V011.lib.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/rnppo_rf_V011.mdl.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_varmis_10_sprf_V011.lib.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_varmis_10_sprf_V011.mdl.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/bond_pad_v011.lib.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/bond_pad_v011.mdl.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_SP10_RF_V021.lib.scs Reading file: /eda_disk/pdks/UMC/UMC90nm/Models/Spectre/L90_SP10_RF_V021.mdl.scs Reading link: /eda_disk/cadence/tools/IC/617_712/tools Reading file: /eda_disk/cadence/tools/IC/617_712/tools.lnx86/dfII/samples/artist/rfLib/balun/veriloga/veriloga.va Time for NDB Parsing: CPU = 206.969 ms, elapsed = 377.957 ms. Time accumulated: CPU = 241.962 ms, elapsed = 377.966 ms. Peak resident memory used = 45.5 Mbytes. The CPU load for active processors is : Spectre 0 (56.8 %) 1 (16.2 %) 2 (5.1 %) 4 (23.7 %) 5 (26.3 %) 6 (7.7 %) 7 (8.1 %) Other Warning from spectre during circuit read-in. WARNING (SFE-2654): VerilogA module `respoly_va' override primitive/(verilogA module) `respoly_va'. WARNING (SFE-2654): VerilogA module `respoly_va' override primitive/(verilogA module) `respoly_va'. Existing shared object for module balun is up to date. Installed compiled interface for balun. Warning from spectre during hierarchy flattening. WARNING (SFE-1131): Duplicate scope option `tnom' with scope `TopCircuit'. (using last value specified). Time for Elaboration: CPU = 56.992 ms, elapsed = 60.117 ms. Time accumulated: CPU = 299.954 ms, elapsed = 438.365 ms. Peak resident memory used = 53.8 Mbytes. Time for EDB Visiting: CPU = 1.999 ms, elapsed = 1.9598 ms. Time accumulated: CPU = 301.953 ms, elapsed = 440.617 ms. Peak resident memory used = 54.6 Mbytes. Global user options: reltol = 0.001 vabstol = 1e-06 iabstol = 1e-12 temp = 27 gmin = 1e-12 rforce = 1 maxnotes = 5 maxwarns = 5 digits = 5 cols = 80 pivrel = 0.001 sensfile = ../psf/sens.output checklimitdest = psf save = allpub tnom = 25 tnom = 27 scalem = 1 scale = 1 Scoped user options: Circuit inventory: nodes 26 balun 1 bsim4 6 capacitor 38 diode 2 inductor 7 resistor 17 vsource 5 Analysis and control statement inventory: hb 1 info 6 Output statements: .probe 0 .measure 0 save 0 Time for parsing: CPU = 4.999 ms, elapsed = 5.17702 ms. Time accumulated: CPU = 306.952 ms, elapsed = 445.986 ms. Peak resident memory used = 56 Mbytes. ~~~~~~~~~~~~~~~~~~~~~~ Pre-Simulation Summary ~~~~~~~~~~~~~~~~~~~~~~ ~~~~~~~~~~~~~~~~~~~~~~ Entering remote command mode using MPSC service (spectre, ipi, v0.0, spectre0_14443_1, ). Warning from spectre. WARNING (SPECTRE-16707): Only tran supports psfxl format, result of other analyses will be in psfbin format. Fundamental 0 in fundfreqs: period = 333.333 ps, freq = 3 GHz, harms = 5, oversample = 1. Fundamental 1 in fundfreqs: period = 150.15 ps, freq = 6.66 GHz, harms = 5, oversample = 1. ************************************************************** Harmonic Balance Steady State Analysis `hb': largefund = 3 GHz ************************************************************** Use semi-autonomous solver DC simulation time: CPU = 2 ms, elapsed = 1.97387 ms. Output and IC/nodeset summary: ic 1 Using linear IC Warning from spectre at time = 1.66667 ps during periodic steady state analysis, during Harmonic Balance Steady State Analysis `hb'. WARNING: Linear IC: Fail to find out initial frequency. INFO: Can't find linear initial condition. INFO: Redo the DC analysis, and do transient from DC. Notice from spectre at time = 1.66667 ps during IC analysis, during periodic steady state analysis, during Harmonic Balance Steady State Analysis `hb'. There are 1 IC nodes defined. Notice from spectre at time = 1.66667 ps during IC analysis, during periodic steady state analysis, during Harmonic Balance Steady State Analysis `hb'. Initial condition computed for node out_p is in error by 7.42834 mV (7.42834 %). Decrease `rforce' to reduce error in computed initial conditions. However, setting rforce too small may result in convergence difficulties or in the matrix becoming singular. DC simulation time: CPU = 2 ms, elapsed = 1.78194 ms. ================================ `hb': time = (0 s -> 16.6667 ns) ================================ Output and IC/nodeset summary: ic 1 Important parameter values in tstab integration: start = 0 s outputstart = 0 s stop = 16.6667 ns step = 16.6667 ps maxstep = 1.66667 ps ic = all useprevic = no skipdc = no reltol = 1e-03 abstol(V) = 1 uV abstol(I) = 1 pA temp = 27 C tnom = 27 C tempeffects = all method = traponly lteratio = 10 relref = sigglobal cmin = 0 F gmin = 1 pS hb: time = 417.3 ps (2.5 %), step = 1.667 ps (10 m%) hb: time = 1.251 ns (7.5 %), step = 1.667 ps (10 m%) hb: time = 2.084 ns (12.5 %), step = 1.667 ps (10 m%) hb: time = 2.917 ns (17.5 %), step = 1.667 ps (10 m%) hb: time = 3.751 ns (22.5 %), step = 1.667 ps (10 m%) hb: time = 4.584 ns (27.5 %), step = 1.667 ps (10 m%) hb: time = 5.417 ns (32.5 %), step = 1.667 ps (10 m%) hb: time = 6.251 ns (37.5 %), step = 1.667 ps (10 m%) hb: time = 7.084 ns (42.5 %), step = 1.667 ps (10 m%) hb: time = 7.917 ns (47.5 %), step = 1.667 ps (10 m%) hb: time = 8.751 ns (52.5 %), step = 1.667 ps (10 m%) hb: time = 9.584 ns (57.5 %), step = 1.667 ps (10 m%) hb: time = 10.42 ns (62.5 %), step = 1.667 ps (10 m%) hb: time = 11.25 ns (67.5 %), step = 1.667 ps (10 m%) hb: time = 12.08 ns (72.5 %), step = 1.667 ps (10 m%) hb: time = 12.92 ns (77.5 %), step = 1.667 ps (10 m%) hb: time = 13.75 ns (82.5 %), step = 1.667 ps (10 m%) hb: time = 14.58 ns (87.5 %), step = 1.667 ps (10 m%) Automatically increase tstab for better initial solution. hb: time = 29.6 ns (92.5 %), step = 1.667 ps (5.21 m%) hb: time = 31.2 ns (97.5 %), step = 1.667 ps (5.21 m%) Automatically increase tstab for better initial solution. Automatically increase tstab for better initial solution. Automatically increase tstab for better initial solution. The onset of oscillations has not been detected, automatically reduce maxstep. The onset of oscillations has not been detected, automatically reduce maxstep. The onset of oscillations has not been detected, automatically reduce maxstep. hb: time = 91.96 ns (100 %), step = 208.3 fs (226 u%) The onset of oscillations has not been detected, automatically reduce maxstep. Warning from spectre at time = 95.3333 ns during periodic steady state analysis, during Harmonic Balance Steady State Analysis `hb'. WARNING (SPCRTRF-15312): The onset of oscillations has not been detected after 95.3333 ns. Set a longer tstab or reduce maxstep. Error found by spectre at time = 96.6667 ns during periodic steady state analysis, during Harmonic Balance Steady State Analysis `hb'. ERROR (SPCRTRF-15050): V(out_n,out_p) is too small to reliably detect the period of the oscillator.Perhaps nodes with insignificant signal levels were chosen, or perhaps the oscillator was never properly started. Pin node is 0, amplitude is 0 Pinning node: 0, harm: 1, name: 0, value: (0.000000, 0.000000) ============================== Harmonic balance hbhomotopy=tone (1-tone) ============================== Important HB parameters: RelTol=1.00e-03 abstol(I)=1.00e-12 A abstol(V)=1.00e-06 V residualtol=1.00e+00 lteratio=1.00e+01 steadyratio=1.00e+00 maxperiods=100 ********** initial residual ********** Resd Norm=1.00e+03 at node net028 harm=(0 0) ********** iter = 1 ********** Delta Norm=5.00e+01 at node V4:p harm=(0 0) Resd Norm=1.36e-01 at node M5:int_s harm=(0 0) Frequency= 3.0000e+09 Hz, delta f= 0.00e+00 ********** iter = 2 ********** Delta Norm=8.31e-06 at node V1:p harm=(0 0) Resd Norm=2.17e-05 at node M5:int_s harm=(0 0) Frequency= 3.0000e+09 Hz, delta f= 0.00e+00 Pin node is 0, amplitude is 0 Pinning node: 0, harm: 1, name: 0, value: (0.000000, 0.000000) ============================== Harmonic balance hbhomotopy=tone (all-tone) ============================== Important HB parameters: RelTol=1.00e-03 abstol(I)=1.00e-12 A abstol(V)=1.00e-06 V residualtol=1.00e+00 lteratio=1.00e+01 steadyratio=1.00e+00 maxperiods=100 ********** initial residual ********** Resd Norm=1.00e+03 at node net028 harm=(0 1) ********** iter = 1 ********** Delta Norm=8.16e+08 at node V4:p harm=(0 1) Resd Norm=2.18e+02 at node M2:int_g harm=(0 2) Frequency= 3.0000e+09 Hz, delta f= 0.00e+00 ********** iter = 2 ********** Delta Norm=2.78e+00 at node C4.ls1:1 harm=(0 2) Resd Norm=2.42e+01 at node M2:int_g harm=(0 3) Frequency= 3.0000e+09 Hz, delta f= 0.00e+00 ********** iter = 3 ********** Delta Norm=1.50e-01 at node V1:p harm=(0 1) Resd Norm=1.39e+00 at node M_ver_n:int_g harm=(0 2) Frequency= 3.0000e+09 Hz, delta f= 0.00e+00 ********** iter = 4 ********** Delta Norm=6.79e-03 at node V1:p harm=(0 2) Resd Norm=2.41e-01 at node M_ver_n:int_g harm=(0 3) Frequency= 3.0000e+09 Hz, delta f= 0.00e+00 ************************************************* Fundamental frequency is 3 GHz. ************************************************* CPU time=0 s Opening the PSF file ../psf/hb.fd.qpss_hb ... Opening the PSF file ../psf/hb.fi.qpss_hb ... Analysis `hb' was terminated prematurely due to an error. modelParameter: writing model parameter values to rawfile. Opening the PSF file ../psf/modelParameter.info ... element: writing instance parameter values to rawfile. Opening the PSF file ../psf/element.info ... outputParameter: writing output parameter values to rawfile. Opening the PSF file ../psf/outputParameter.info ... designParamVals: writing netlist parameters to rawfile. Opening the PSFASCII file ../psf/designParamVals.info ... primitives: writing primitives to rawfile. Opening the PSFASCII file ../psf/primitives.info.primitives ... subckts: writing subcircuits to rawfile. Opening the PSFASCII file ../psf/subckts.info.subckts ...