Spectre (R) Circuit Simulator Version 19.1.0.373.isr7 64bit -- 21 May 2020 Copyright (C) 1989-2020 Cadence Design Systems, Inc. All rights reserved worldwide. Cadence and Spectre are registered trademarks of Cadence Design Systems, Inc. All others are the property of their respective holders. Includes RSA BSAFE(R) Cryptographic or Security Protocol Software from RSA Security, Inc. User: rfid Host: EEX136 HostID: 598F882C PID: 5817 Memory available: 26.7010 GB physical: 101.4283 GB Linux : CentOS release 6.10 (Final) CPU Type: Intel(R) Xeon(R) CPU X5690 @ 3.47GHz All processors running at 3458.3 MHz Socket: Processors (Hyperthreaded Processor) 0: 1 ( 13 ), 3 ( 15 ), 5 ( 17 ), 7 ( 19 ), 9 ( 21 ) 11 ( 23 ) 1: 0 ( 12 ), 2 ( 14 ), 4 ( 16 ), 6 ( 18 ), 8 ( 20 ) 10 ( 22 ) System load averages (1min, 5min, 15min) : 2.1 %, 2.2 %, 2.2 % Hyperthreading is enabled Simulating `input.scs' on EEX136 at 11:44:54 AM, Wed Jun 23, 2021 (process id: 5817). Current working directory: /home/anr2/rfid/Simulation/HBC_snp_Jun21/spectre/schematic/netlist Command line: /usr/eelocal/cadence/spectre191hf/tools/bin/spectre -64 input.scs \ +escchars +log ../psf/spectre.out -format psfxl -raw ../psf \ +lqtimeout 900 -maxw 5 -maxn 5 -env ade Loading /usr/eelocal/cadence/spectre191hf/tools.lnx86/cmi/lib/64bit/5.0/libinfineon_sh.so ... Loading /usr/eelocal/cadence/spectre191hf/tools.lnx86/cmi/lib/64bit/5.0/libphilips_o_sh.so ... Loading /usr/eelocal/cadence/spectre191hf/tools.lnx86/cmi/lib/64bit/5.0/libphilips_sh.so ... Loading /usr/eelocal/cadence/spectre191hf/tools.lnx86/cmi/lib/64bit/5.0/libsparam_sh.so ... Loading /usr/eelocal/cadence/spectre191hf/tools.lnx86/cmi/lib/64bit/5.0/libstmodels_sh.so ... Reading file: /home/anr2/rfid/Simulation/HBC_snp_Jun21/spectre/schematic/netlist/input.scs Reading link: /usr/eelocal/cadence Reading link: /usr/eelocal Reading file: /afs/ee.ust.hk/i386_linux26/usr/eelocal/cadence/spectre191hf/tools.lnx86/spectre/etc/configs/spectre.cfg Reading file: /home/anr2/PDK/Muse28/HPCPLUS/PDK/TSMCiPDK/tn28crsp029w1_1_8_2p2a/models/spectre/toplevel.scs Reading file: /home/anr2/PDK/Muse28/HPCPLUS/PDK/TSMCiPDK/tn28crsp029w1_1_8_2p2a/models/spectre/crn28ull_1d8_elk_v1d8_2p2_shrink0d9_embedded_usage.scs Reading file: /home/anr2/PDK/Muse28/HPCPLUS/PDK/TSMCiPDK/tn28crsp029w1_1_8_2p2a/models/spectre/cln28ull_1d8_elk_v1d8_3.scs Reading file: /home/anr2/PDK/Muse28/HPCPLUS/PDK/TSMCiPDK/tn28crsp029w1_1_8_2p2a/models/spectre/crn28ull_1d8_elk_v1d8_2p2.scs Reading file: /home/anr2/PDK/Muse28/HPCPLUS/PDK/TSMCiPDK/tn28crsp029w1_1_8_2p2a/models/spectre/res_metal.scs Reading file: /home/anr2/PDK/Muse28/HPCPLUS/PDK/TSMCiPDK/tn28crsp029w1_1_8_2p2a/models/spectre/cln28hpcp_hv_1d8_elk_v1d1_2p1_shrink0d9_embedded_usage.scs Reading file: /home/anr2/PDK/Muse28/HPCPLUS/PDK/TSMCiPDK/tn28crsp029w1_1_8_2p2a/models/spectre/cln28hpcp_hv_1d8_elk_v1d1_2p1.scs Time for NDB Parsing: CPU = 14.8417 s, elapsed = 15.1118 s. Time accumulated: CPU = 14.9667 s, elapsed = 15.1118 s. Peak resident memory used = 280 Mbytes. Reading link: /usr/eelocal/cadence/spectre191hf/tools.lnx86/spectre/etc/ahdl/discipline.h Reading file: /afs/ee.ust.hk/i386_linux26/usr/eelocal/cadence/spectre191hf/tools.lnx86/spectre/etc/ahdl/disciplines.vams Reading link: /usr/eelocal/cadence/spectre191hf/tools.lnx86/spectre/etc/ahdl/constants.h Reading file: /afs/ee.ust.hk/i386_linux26/usr/eelocal/cadence/spectre191hf/tools.lnx86/spectre/etc/ahdl/constants.vams Warning from spectre during hierarchy flattening. WARNING (SFE-1131): Scope option `scalefactor' specified with scope `TopCircuit' at line `272' has also been specified at line `1582' in file `/home/anr2/PDK/Muse28/HPCPLUS/PDK/TSMCiPDK/tn28crsp029w1_1_8_2p2a/models/spectre/./crn28ull_1d8_elk_v1d8_2p2_shrink0d9_embedded_usage.scs'. As a result, the last specified value will be used for simulation. WARNING (SFE-1131): Scope option `scalefactor' specified with scope `TopCircuit' at line `1582' has also been specified at line `1816' in file `/home/anr2/PDK/Muse28/HPCPLUS/PDK/TSMCiPDK/tn28crsp029w1_1_8_2p2a/models/spectre/./crn28ull_1d8_elk_v1d8_2p2_shrink0d9_embedded_usage.scs'. As a result, the last specified value will be used for simulation. WARNING (SFE-1131): Scope option `scalefactor' specified with scope `TopCircuit' at line `1816' has also been specified at line `1970' in file `/home/anr2/PDK/Muse28/HPCPLUS/PDK/TSMCiPDK/tn28crsp029w1_1_8_2p2a/models/spectre/./crn28ull_1d8_elk_v1d8_2p2_shrink0d9_embedded_usage.scs'. As a result, the last specified value will be used for simulation. WARNING (SFE-1131): Scope option `scalefactor' specified with scope `TopCircuit' at line `1970' has also been specified at line `1929' in file `/home/anr2/PDK/Muse28/HPCPLUS/PDK/TSMCiPDK/tn28crsp029w1_1_8_2p2a/models/spectre/./crn28ull_1d8_elk_v1d8_2p2_shrink0d9_embedded_usage.scs'. As a result, the last specified value will be used for simulation. WARNING (SFE-1131): Scope option `scalefactor' specified with scope `TopCircuit' at line `1929' has also been specified at line `13' in file `/home/anr2/PDK/Muse28/HPCPLUS/PDK/TSMCiPDK/tn28crsp029w1_1_8_2p2a/models/spectre/./cln28hpcp_hv_1d8_elk_v1d1_2p1_shrink0d9_embedded_usage.scs'. As a result, the last specified value will be used for simulation. Further occurrences of this warning will be suppressed. Reading file: /home/anr2/PDK/Muse28/HPCPLUS/PDK/TSMCiPDK/tn28crsp029w1_1_8_2p2a/models/spectre/cln28ull_1d8_elk_v1d8_3_dir Existing shared object for module bsource_69f2b9 is up to date. Installed compiled interface for bsource_69f2b9. Existing shared object for module bsource_e0e0b9 is up to date. Installed compiled interface for bsource_e0e0b9. Existing shared object for module bsource_9e2720 is up to date. Installed compiled interface for bsource_9e2720. Existing shared object for module bsource_3c5fc9 is up to date. Installed compiled interface for bsource_3c5fc9. Existing shared object for module bsource_569dd9 is up to date. Installed compiled interface for bsource_569dd9. Existing shared object for module bsource_bfd22a is up to date. Installed compiled interface for bsource_bfd22a. Existing shared object for module bsource_0ac3b1 is up to date. Installed compiled interface for bsource_0ac3b1. Existing shared object for module bsource_d2112e is up to date. Installed compiled interface for bsource_d2112e. Reading file: /home/anr2/ygaoay/ideal_hybrid_coupler.s4p Warning from spectre during hierarchy flattening. WARNING (SFE-32): "input.scs" 50: Duplicate specification for parameter `interp' (using last value specified). Reading file: /home/anr2/rfid/2021_Spring_wrk/data/May_30_HBC_TF2_v4_0_5l_180.s7p Time for Elaboration: CPU = 152.976 ms, elapsed = 161.886 ms. Time accumulated: CPU = 15.1197 s, elapsed = 15.2738 s. Peak resident memory used = 307 Mbytes. Warning from spectre during hierarchy flattening. WARNING (SPECTRE-17101): The value 'psf' specified for the 'checklimitdest' option will no longer be supported in future releases. Use 'spectre -h' to see other recommended values for the 'checklimitdest' option. Notice from spectre during hierarchy flattening. Nport compression is disabled on instance NPORT2 because its port number 4 is smaller than 10. Nport compression is disabled on instance NPORT0 because its port number 7 is smaller than 10. Time for EDB Visiting: CPU = 3 ms, elapsed = 3.19695 ms. Time accumulated: CPU = 15.1227 s, elapsed = 15.2771 s. Peak resident memory used = 308 Mbytes. Notice from spectre during initial setup. NPORT0: Use existing rational fitting data '/staff/ee/rfid/.cadence/mmsim//e21914493bd2924d090d1d22470a7911_encrypt'. The extracted model is passive. Entry Average Error -------------------------------------------------- S(7, 3) 0.056238 S(3, 7) 0.055821 S(6, 7) 0.053617 S(6, 3) 0.052757 S(7, 6) 0.035891 S(3, 6) 0.033243 S(7, 7) 0.025450 S(3, 3) 0.024185 S(5, 4) 0.023060 S(4, 5) 0.020544 Entry Maximum Error @Frequency(GHz) -------------------------------------------------- S(3, 7) 0.128316 0.500000000 S(6, 7) 0.127429 0.750000000 S(7, 3) 0.110753 155.000000000 S(4, 5) 0.099107 180.000000000 S(4, 4) 0.096137 180.000000000 S(5, 4) 0.094408 180.000000000 S(6, 3) 0.082584 155.000000000 S(4, 3) 0.076421 180.000000000 S(3, 4) 0.074614 180.000000000 S(5, 5) 0.069948 180.000000000 Maximum Average Error = 0.056238 Maximum Error = 0.128316 Fitted S-Parameter: /home/anr2/rfid/Simulation/HBC_NPF_testbench_Jun03/spectre/schematic/netlist/BBSpiceOutput/e21914493bd2924d090d1d22470a7911/BBSResult_May_30_HBC_TF2_v4_0_5l_180/May_30_HBC_TF2_v4_0_5l_180_Fitted.s7p Warning from spectre during initial setup. WARNING (NPORT-1030): NPORT0: Large error exists in BBSpice fitting. This may cause simulation accuracy issue. If unacceptable accuracy issue shows up, try other 'interp' method, or refine the input S-parameter. WARNING (CMI-2838): In file `/home/anr2/ygaoay/ideal_hybrid_coupler.s4p', Im(S1_2) is non-zero ( 0.000 + j * -0.707 ) at DC. WARNING (CMI-2838): In file `/home/anr2/ygaoay/ideal_hybrid_coupler.s4p', Im(S3_4) is non-zero ( 0.000 + j * -0.707 ) at DC. Notice from spectre during initial setup. In file `/home/anr2/ygaoay/ideal_hybrid_coupler.s4p', the maximum passivity violation is 0.000597% at 0 Hz. Notice from spectre during topology check. Only one connection to node `net035'. No DC path from node `C59.a1' to ground, Gmin installed to provide path. Global user options: psfversion = 1.1.0 vabstol = 1e-06 iabstol = 1e-12 temp = 27 gmin = 1e-12 rforce = 1 maxnotes = 5 maxwarns = 5 digits = 5 cols = 80 pivrel = 0.001 sensfile = ../psf/sens.output checklimitdest = psf save = allpub tmioutput = /home/anr2/rfid/Simulation/HBC_snp_Jun21/spectre/schematic/netlist/input degfile = /home/anr2/rfid/Simulation/HBC_snp_Jun21/spectre/schematic/netlist/input scalefactor = 0.9 reltol = 0.001 tnom = 27 scalem = 1 scale = 1 Scoped user options: Circuit inventory: nodes 69 bsource_0ac3b1 5 bsource_3c5fc9 6 bsource_9e2720 6 bsource_69f2b9 6 bsource_569dd9 6 bsource_bfd22a 6 bsource_d2112e 10 bsource_e0e0b9 6 capacitor 22 diode 2 inductor 14 nport 2 port 8 resistor 39 vccs 2 vsource 5 Analysis and control statement inventory: ac 1 dc 1 info 7 sp 1 Output statements: .probe 0 .measure 0 save 0 Time for parsing: CPU = 23.997 ms, elapsed = 1.0425 s. Time accumulated: CPU = 15.1467 s, elapsed = 16.3197 s. Peak resident memory used = 312 Mbytes. ~~~~~~~~~~~~~~~~~~~~~~ Pre-Simulation Summary ~~~~~~~~~~~~~~~~~~~~~~ ~~~~~~~~~~~~~~~~~~~~~~ Notice from spectre. NPORT0: `interp` value is set to `linear` for frequency-domain/dc/tran analysis, because BBSpice generated large fitting errors and the value of global option `nport_bbspice_to_linear` has been set to `yes`. S parameters are passive in file `/home/anr2/rfid/2021_Spring_wrk/data/May_30_HBC_TF2_v4_0_5l_180.s7p'. Circuit topology changed. **************************************************** S-Parameter Analysis `sp': freq = (55 GHz -> 67 GHz) **************************************************** DC simulation time: CPU = 2 ms, elapsed = 2.26498 ms. sp: freq = 55.5 GHz (4.17 %), step = 500 MHz (4.17 %) sp: freq = 56 GHz (8.33 %), step = 500 MHz (4.17 %) sp: freq = 56.5 GHz (12.5 %), step = 500 MHz (4.17 %) sp: freq = 57 GHz (16.7 %), step = 500 MHz (4.17 %) sp: freq = 57.5 GHz (20.8 %), step = 500 MHz (4.17 %) sp: freq = 58 GHz (25 %), step = 500 MHz (4.17 %) sp: freq = 58.5 GHz (29.2 %), step = 500 MHz (4.17 %) sp: freq = 59 GHz (33.3 %), step = 500 MHz (4.17 %) sp: freq = 59.5 GHz (37.5 %), step = 500 MHz (4.17 %) sp: freq = 60 GHz (41.7 %), step = 500 MHz (4.17 %) sp: freq = 60.5 GHz (45.8 %), step = 500 MHz (4.17 %) sp: freq = 61 GHz (50 %), step = 500 MHz (4.17 %) sp: freq = 61.5 GHz (54.2 %), step = 500 MHz (4.17 %) sp: freq = 62 GHz (58.3 %), step = 500 MHz (4.17 %) sp: freq = 62.5 GHz (62.5 %), step = 500 MHz (4.17 %) sp: freq = 63 GHz (66.7 %), step = 500 MHz (4.17 %) sp: freq = 63.5 GHz (70.8 %), step = 500 MHz (4.17 %) sp: freq = 64 GHz (75 %), step = 500 MHz (4.17 %) sp: freq = 64.5 GHz (79.2 %), step = 500 MHz (4.17 %) sp: freq = 65 GHz (83.3 %), step = 500 MHz (4.17 %) sp: freq = 65.5 GHz (87.5 %), step = 500 MHz (4.17 %) sp: freq = 66 GHz (91.7 %), step = 500 MHz (4.17 %) sp: freq = 66.5 GHz (95.8 %), step = 500 MHz (4.17 %) sp: freq = 67 GHz (100 %), step = 500 MHz (4.17 %) Accumulated DC solution time = 0 s. Intrinsic sp analysis time = 15.04 s. Total time required for sp analysis `sp': CPU = 24.996 ms, elapsed = 28.223 ms. Time accumulated: CPU = 15.1837 s, elapsed = 16.3614 s. Peak resident memory used = 313 Mbytes. ******************************************* AC Analysis `ac': freq = (55 GHz -> 67 GHz) ******************************************* Notice from spectre during AC analysis `ac'. Operating points will not be recomputed: the circuit and conditions were not changed since previous analysis. Opening the PSF file ../psf/ac.ac ... ac: freq = 55.5 GHz (4.17 %), step = 500 MHz (4.17 %) ac: freq = 56 GHz (8.33 %), step = 500 MHz (4.17 %) ac: freq = 56.5 GHz (12.5 %), step = 500 MHz (4.17 %) ac: freq = 57 GHz (16.7 %), step = 500 MHz (4.17 %) ac: freq = 57.5 GHz (20.8 %), step = 500 MHz (4.17 %) ac: freq = 58 GHz (25 %), step = 500 MHz (4.17 %) ac: freq = 58.5 GHz (29.2 %), step = 500 MHz (4.17 %) ac: freq = 59 GHz (33.3 %), step = 500 MHz (4.17 %) ac: freq = 59.5 GHz (37.5 %), step = 500 MHz (4.17 %) ac: freq = 60 GHz (41.7 %), step = 500 MHz (4.17 %) ac: freq = 60.5 GHz (45.8 %), step = 500 MHz (4.17 %) ac: freq = 61 GHz (50 %), step = 500 MHz (4.17 %) ac: freq = 61.5 GHz (54.2 %), step = 500 MHz (4.17 %) ac: freq = 62 GHz (58.3 %), step = 500 MHz (4.17 %) ac: freq = 62.5 GHz (62.5 %), step = 500 MHz (4.17 %) ac: freq = 63 GHz (66.7 %), step = 500 MHz (4.17 %) ac: freq = 63.5 GHz (70.8 %), step = 500 MHz (4.17 %) ac: freq = 64 GHz (75 %), step = 500 MHz (4.17 %) ac: freq = 64.5 GHz (79.2 %), step = 500 MHz (4.17 %) ac: freq = 65 GHz (83.3 %), step = 500 MHz (4.17 %) ac: freq = 65.5 GHz (87.5 %), step = 500 MHz (4.17 %) ac: freq = 66 GHz (91.7 %), step = 500 MHz (4.17 %) ac: freq = 66.5 GHz (95.8 %), step = 500 MHz (4.17 %) ac: freq = 67 GHz (100 %), step = 500 MHz (4.17 %) Accumulated DC solution time = 0 s. Intrinsic ac analysis time = 0 s. Total time required for ac analysis `ac': CPU = 15.998 ms, elapsed = 18.2979 ms. Time accumulated: CPU = 15.2017 s, elapsed = 16.3822 s. Peak resident memory used = 314 Mbytes. ****************** DC Analysis `dcOp' ****************** Opening the PSF file ../psf/dcOp.dc ... Important parameter values: reltol = 1e-03 abstol(V) = 1 uV abstol(I) = 1 pA temp = 27 C tnom = 27 C tempeffects = all gmindc = 1 pS Maximum value achieved for any signal of each quantity: V: V(C23.6) = 700 mV I: I(V28:p) = 11.48 pA Convergence achieved in 2 iterations. DC simulation time: CPU = 5.999 ms, elapsed = 7.74789 ms. Total time required for dc analysis `dcOp': CPU = 5.999 ms, elapsed = 7.80702 ms. Time accumulated: CPU = 15.2087 s, elapsed = 16.3916 s. Peak resident memory used = 314 Mbytes. dcOpInfo: writing operating point information to rawfile. Opening the PSF file ../psf/dcOpInfo.info ... modelParameter: writing model parameter values to rawfile. Opening the PSF file ../psf/modelParameter.info ... element: writing instance parameter values to rawfile. Opening the PSF file ../psf/element.info ... outputParameter: writing output parameter values to rawfile. Opening the PSF file ../psf/outputParameter.info ... designParamVals: writing netlist parameters to rawfile. Opening the PSFASCII file ../psf/designParamVals.info ... primitives: writing primitives to rawfile. Opening the PSFASCII file ../psf/primitives.info.primitives ... subckts: writing subcircuits to rawfile. Opening the PSFASCII file ../psf/subckts.info.subckts ... Aggregate audit (11:45:11 AM, Wed Jun 23, 2021): Time used: CPU = 15.8 s, elapsed = 17 s, util. = 92.7%. Time spent in licensing: elapsed = 20 ms. Peak memory used = 319 Mbytes. Simulation started at: 11:44:54 AM, Wed Jun 23, 2021, ended at: 11:45:11 AM, Wed Jun 23, 2021, with elapsed time (wall clock): 17 s. spectre completes with 0 errors, 10 warnings, and 41 notices.