Spectre (R) Circuit Simulator Version 19.1.0.373.isr7 64bit -- 21 May 2020 Copyright (C) 1989-2020 Cadence Design Systems, Inc. All rights reserved worldwide. Cadence and Spectre are registered trademarks of Cadence Design Systems, Inc. All others are the property of their respective holders. Includes RSA BSAFE(R) Cryptographic or Security Protocol Software from RSA Security, Inc. User: rfid Host: EEX136 HostID: 598F882C PID: 6544 Memory available: 26.7012 GB physical: 101.4283 GB Linux : CentOS release 6.10 (Final) CPU Type: Intel(R) Xeon(R) CPU X5690 @ 3.47GHz All processors running at 3458.3 MHz Socket: Processors (Hyperthreaded Processor) 0: 1 ( 13 ), 3 ( 15 ), 5 ( 17 ), 7 ( 19 ), 9 ( 21 ) 11 ( 23 ) 1: 0 ( 12 ), 2 ( 14 ), 4 ( 16 ), 6 ( 18 ), 8 ( 20 ) 10 ( 22 ) System load averages (1min, 5min, 15min) : 1.0 %, 1.8 %, 2.1 % Hyperthreading is enabled Simulating `input.scs' on EEX136 at 11:46:49 AM, Wed Jun 23, 2021 (process id: 6544). Current working directory: /home/anr2/rfid/Simulation/HBC_snp_Jun21/spectre/schematic/netlist Command line: /usr/eelocal/cadence/spectre191hf/tools/bin/spectre -64 input.scs \ +escchars +log ../psf/spectre.out -format psfxl -raw ../psf \ +lqtimeout 900 -maxw 5 -maxn 5 -env ade Loading /usr/eelocal/cadence/spectre191hf/tools.lnx86/cmi/lib/64bit/5.0/libinfineon_sh.so ... Loading /usr/eelocal/cadence/spectre191hf/tools.lnx86/cmi/lib/64bit/5.0/libphilips_o_sh.so ... Loading /usr/eelocal/cadence/spectre191hf/tools.lnx86/cmi/lib/64bit/5.0/libphilips_sh.so ... Loading /usr/eelocal/cadence/spectre191hf/tools.lnx86/cmi/lib/64bit/5.0/libsparam_sh.so ... Loading /usr/eelocal/cadence/spectre191hf/tools.lnx86/cmi/lib/64bit/5.0/libstmodels_sh.so ... Reading file: /home/anr2/rfid/Simulation/HBC_snp_Jun21/spectre/schematic/netlist/input.scs Reading link: /usr/eelocal/cadence Reading link: /usr/eelocal Reading file: /afs/ee.ust.hk/i386_linux26/usr/eelocal/cadence/spectre191hf/tools.lnx86/spectre/etc/configs/spectre.cfg Reading file: /home/anr2/PDK/Muse28/HPCPLUS/PDK/TSMCiPDK/tn28crsp029w1_1_8_2p2a/models/spectre/toplevel.scs Reading file: /home/anr2/PDK/Muse28/HPCPLUS/PDK/TSMCiPDK/tn28crsp029w1_1_8_2p2a/models/spectre/crn28ull_1d8_elk_v1d8_2p2_shrink0d9_embedded_usage.scs Reading file: /home/anr2/PDK/Muse28/HPCPLUS/PDK/TSMCiPDK/tn28crsp029w1_1_8_2p2a/models/spectre/cln28ull_1d8_elk_v1d8_3.scs Reading file: /home/anr2/PDK/Muse28/HPCPLUS/PDK/TSMCiPDK/tn28crsp029w1_1_8_2p2a/models/spectre/crn28ull_1d8_elk_v1d8_2p2.scs Reading file: /home/anr2/PDK/Muse28/HPCPLUS/PDK/TSMCiPDK/tn28crsp029w1_1_8_2p2a/models/spectre/res_metal.scs Reading file: /home/anr2/PDK/Muse28/HPCPLUS/PDK/TSMCiPDK/tn28crsp029w1_1_8_2p2a/models/spectre/cln28hpcp_hv_1d8_elk_v1d1_2p1_shrink0d9_embedded_usage.scs Reading file: /home/anr2/PDK/Muse28/HPCPLUS/PDK/TSMCiPDK/tn28crsp029w1_1_8_2p2a/models/spectre/cln28hpcp_hv_1d8_elk_v1d1_2p1.scs Time for NDB Parsing: CPU = 14.9467 s, elapsed = 15.2157 s. Time accumulated: CPU = 15.0717 s, elapsed = 15.2157 s. Peak resident memory used = 279 Mbytes. Reading link: /usr/eelocal/cadence/spectre191hf/tools.lnx86/spectre/etc/ahdl/discipline.h Reading file: /afs/ee.ust.hk/i386_linux26/usr/eelocal/cadence/spectre191hf/tools.lnx86/spectre/etc/ahdl/disciplines.vams Reading link: /usr/eelocal/cadence/spectre191hf/tools.lnx86/spectre/etc/ahdl/constants.h Reading file: /afs/ee.ust.hk/i386_linux26/usr/eelocal/cadence/spectre191hf/tools.lnx86/spectre/etc/ahdl/constants.vams Warning from spectre during hierarchy flattening. WARNING (SFE-1131): Scope option `scalefactor' specified with scope `TopCircuit' at line `272' has also been specified at line `1582' in file `/home/anr2/PDK/Muse28/HPCPLUS/PDK/TSMCiPDK/tn28crsp029w1_1_8_2p2a/models/spectre/./crn28ull_1d8_elk_v1d8_2p2_shrink0d9_embedded_usage.scs'. As a result, the last specified value will be used for simulation. WARNING (SFE-1131): Scope option `scalefactor' specified with scope `TopCircuit' at line `1582' has also been specified at line `1816' in file `/home/anr2/PDK/Muse28/HPCPLUS/PDK/TSMCiPDK/tn28crsp029w1_1_8_2p2a/models/spectre/./crn28ull_1d8_elk_v1d8_2p2_shrink0d9_embedded_usage.scs'. As a result, the last specified value will be used for simulation. WARNING (SFE-1131): Scope option `scalefactor' specified with scope `TopCircuit' at line `1816' has also been specified at line `1970' in file `/home/anr2/PDK/Muse28/HPCPLUS/PDK/TSMCiPDK/tn28crsp029w1_1_8_2p2a/models/spectre/./crn28ull_1d8_elk_v1d8_2p2_shrink0d9_embedded_usage.scs'. As a result, the last specified value will be used for simulation. WARNING (SFE-1131): Scope option `scalefactor' specified with scope `TopCircuit' at line `1970' has also been specified at line `1929' in file `/home/anr2/PDK/Muse28/HPCPLUS/PDK/TSMCiPDK/tn28crsp029w1_1_8_2p2a/models/spectre/./crn28ull_1d8_elk_v1d8_2p2_shrink0d9_embedded_usage.scs'. As a result, the last specified value will be used for simulation. WARNING (SFE-1131): Scope option `scalefactor' specified with scope `TopCircuit' at line `1929' has also been specified at line `13' in file `/home/anr2/PDK/Muse28/HPCPLUS/PDK/TSMCiPDK/tn28crsp029w1_1_8_2p2a/models/spectre/./cln28hpcp_hv_1d8_elk_v1d1_2p1_shrink0d9_embedded_usage.scs'. As a result, the last specified value will be used for simulation. Further occurrences of this warning will be suppressed. Reading file: /home/anr2/PDK/Muse28/HPCPLUS/PDK/TSMCiPDK/tn28crsp029w1_1_8_2p2a/models/spectre/cln28ull_1d8_elk_v1d8_3_dir Existing shared object for module bsource_69f2b9 is up to date. Installed compiled interface for bsource_69f2b9. Existing shared object for module bsource_e0e0b9 is up to date. Installed compiled interface for bsource_e0e0b9. Existing shared object for module bsource_9e2720 is up to date. Installed compiled interface for bsource_9e2720. Existing shared object for module bsource_3c5fc9 is up to date. Installed compiled interface for bsource_3c5fc9. Existing shared object for module bsource_569dd9 is up to date. Installed compiled interface for bsource_569dd9. Existing shared object for module bsource_bfd22a is up to date. Installed compiled interface for bsource_bfd22a. Existing shared object for module bsource_0ac3b1 is up to date. Installed compiled interface for bsource_0ac3b1. Existing shared object for module bsource_d2112e is up to date. Installed compiled interface for bsource_d2112e. Reading file: /home/anr2/ygaoay/ideal_hybrid_coupler.s4p Warning from spectre during hierarchy flattening. WARNING (SFE-32): "input.scs" 50: Duplicate specification for parameter `interp' (using last value specified). Reading file: /home/anr2/rfid/2021_Spring_wrk/data/May_30_HBC_TF2_v4_0_5l_180.s7p Time for Elaboration: CPU = 153.977 ms, elapsed = 164.893 ms. Time accumulated: CPU = 15.2257 s, elapsed = 15.3808 s. Peak resident memory used = 307 Mbytes. Warning from spectre during hierarchy flattening. WARNING (SPECTRE-17101): The value 'psf' specified for the 'checklimitdest' option will no longer be supported in future releases. Use 'spectre -h' to see other recommended values for the 'checklimitdest' option. Notice from spectre during hierarchy flattening. Nport compression is disabled on instance NPORT2 because its port number 4 is smaller than 10. Nport compression is disabled on instance NPORT0 because its port number 7 is smaller than 10. Time for EDB Visiting: CPU = 3 ms, elapsed = 3.12209 ms. Time accumulated: CPU = 15.2287 s, elapsed = 15.384 s. Peak resident memory used = 308 Mbytes. Notice from spectre during initial setup. NPORT0: Use existing rational fitting data '/staff/ee/rfid/.cadence/mmsim//e21914493bd2924d090d1d22470a7911_encrypt'. The extracted model is passive. Entry Average Error -------------------------------------------------- S(7, 3) 0.056238 S(3, 7) 0.055821 S(6, 7) 0.053617 S(6, 3) 0.052757 S(7, 6) 0.035891 S(3, 6) 0.033243 S(7, 7) 0.025450 S(3, 3) 0.024185 S(5, 4) 0.023060 S(4, 5) 0.020544 Entry Maximum Error @Frequency(GHz) -------------------------------------------------- S(3, 7) 0.128316 0.500000000 S(6, 7) 0.127429 0.750000000 S(7, 3) 0.110753 155.000000000 S(4, 5) 0.099107 180.000000000 S(4, 4) 0.096137 180.000000000 S(5, 4) 0.094408 180.000000000 S(6, 3) 0.082584 155.000000000 S(4, 3) 0.076421 180.000000000 S(3, 4) 0.074614 180.000000000 S(5, 5) 0.069948 180.000000000 Maximum Average Error = 0.056238 Maximum Error = 0.128316 Fitted S-Parameter: /home/anr2/rfid/Simulation/HBC_NPF_testbench_Jun03/spectre/schematic/netlist/BBSpiceOutput/e21914493bd2924d090d1d22470a7911/BBSResult_May_30_HBC_TF2_v4_0_5l_180/May_30_HBC_TF2_v4_0_5l_180_Fitted.s7p Warning from spectre during initial setup. WARNING (NPORT-1030): NPORT0: Large error exists in BBSpice fitting. This may cause simulation accuracy issue. If unacceptable accuracy issue shows up, try other 'interp' method, or refine the input S-parameter. WARNING (CMI-2838): In file `/home/anr2/ygaoay/ideal_hybrid_coupler.s4p', Im(S1_2) is non-zero ( 0.000 + j * -0.707 ) at DC. WARNING (CMI-2838): In file `/home/anr2/ygaoay/ideal_hybrid_coupler.s4p', Im(S3_4) is non-zero ( 0.000 + j * -0.707 ) at DC. Notice from spectre during initial setup. In file `/home/anr2/ygaoay/ideal_hybrid_coupler.s4p', the maximum passivity violation is 0.000597% at 0 Hz. Notice from spectre during topology check. Only one connection to node `net035'. No DC path from node `C59.a1' to ground, Gmin installed to provide path. Global user options: psfversion = 1.1.0 vabstol = 1e-06 iabstol = 1e-12 temp = 27 gmin = 1e-12 rforce = 1 maxnotes = 5 maxwarns = 5 digits = 5 cols = 80 pivrel = 0.001 sensfile = ../psf/sens.output checklimitdest = psf save = allpub tmioutput = /home/anr2/rfid/Simulation/HBC_snp_Jun21/spectre/schematic/netlist/input degfile = /home/anr2/rfid/Simulation/HBC_snp_Jun21/spectre/schematic/netlist/input scalefactor = 0.9 reltol = 0.001 tnom = 27 scalem = 1 scale = 1 Scoped user options: Circuit inventory: nodes 69 bsource_0ac3b1 5 bsource_3c5fc9 6 bsource_9e2720 6 bsource_69f2b9 6 bsource_569dd9 6 bsource_bfd22a 6 bsource_d2112e 10 bsource_e0e0b9 6 capacitor 22 diode 2 inductor 14 nport 2 port 8 resistor 39 vccs 2 vsource 5 Analysis and control statement inventory: dc 1 info 7 pac 1 pss 1 Output statements: .probe 0 .measure 0 save 0 Time for parsing: CPU = 22.996 ms, elapsed = 1.04083 s. Time accumulated: CPU = 15.2517 s, elapsed = 16.4249 s. Peak resident memory used = 312 Mbytes. ~~~~~~~~~~~~~~~~~~~~~~ Pre-Simulation Summary ~~~~~~~~~~~~~~~~~~~~~~ ~~~~~~~~~~~~~~~~~~~~~~ *************************************************** Periodic Steady-State Analysis `pss': fund = 15 GHz *************************************************** DC simulation time: CPU = 4.999 ms, elapsed = 6.05917 ms. Warning from spectre during periodic steady state analysis `pss'. WARNING (CMI-2983): Distributed components are detected in the periodic steady-state analysis (PSS) simulation. PSS may be slowed down. It is recommended to use harmonic balance (HB) engine instead for distributed components. WARNING (CMI-2984): NPORT0' is a distributed component. WARNING (CMI-2983): Distributed components are detected in the periodic steady-state analysis (PSS) simulation. PSS may be slowed down. It is recommended to use harmonic balance (HB) engine instead for distributed components. WARNING (CMI-2984): NPORT2' is a distributed component. Notice from spectre during periodic steady state analysis `pss'. Distributed Components have been included for pss analysis with Shooting engine. It is recommended to use Harmonic Balance engine for Distributed Components. ================================= `pss': time = (0 s -> 66.6667 ps) ================================= Reading link: /staff Reading file: /afs/ee.ust.hk/staff/ee/rfid/.cadence/mmsim/7ee3e2ef9e389d043c400004fa28b9f0.bin Notice from spectre during periodic steady state analysis `pss'. Nport NPORT2: Reuse impulse responses from the file `7ee3e2ef9e389d043c400004fa28b9f0.bin' in the directory of `/staff/ee/rfid/.cadence/mmsim/'. Important parameter values in tstab integration: start = 0 s outputstart = 0 s stop = 66.6667 ps period = 66.6667 ps maxperiods = 20 step = 66.6667 fs maxstep = 2.66667 ps ic = all useprevic = no skipdc = no reltol = 1e-03 abstol(V) = 1 uV abstol(I) = 1 pA abstol(U) = 1 u temp = 27 C tnom = 27 C tempeffects = all method = traponly lteratio = 3.5 relref = sigglobal cmin = 0 F gmin = 1 pS pss: time = 1.961 ps (2.94 %), step = 428.2 fs (642 m%) pss: time = 5.52 ps (8.28 %), step = 930.9 fs (1.4 %) pss: time = 8.383 ps (12.6 %), step = 587.2 fs (881 m%) pss: time = 11.83 ps (17.7 %), step = 947.9 fs (1.42 %) pss: time = 15.52 ps (23.3 %), step = 639.9 fs (960 m%) pss: time = 18.82 ps (28.2 %), step = 930.9 fs (1.4 %) pss: time = 22.06 ps (33.1 %), step = 709.8 fs (1.06 %) pss: time = 25.84 ps (38.8 %), step = 892 fs (1.34 %) pss: time = 28.42 ps (42.6 %), step = 856 fs (1.28 %) pss: time = 32.07 ps (48.1 %), step = 717.4 fs (1.08 %) pss: time = 35.48 ps (53.2 %), step = 890.5 fs (1.34 %) pss: time = 38.7 ps (58.1 %), step = 721 fs (1.08 %) pss: time = 41.67 ps (62.5 %), step = 810.2 fs (1.22 %) pss: time = 45.38 ps (68.1 %), step = 902 fs (1.35 %) pss: time = 49.03 ps (73.5 %), step = 737.2 fs (1.11 %) pss: time = 51.76 ps (77.6 %), step = 1.04 ps (1.56 %) pss: time = 55.22 ps (82.8 %), step = 729.6 fs (1.09 %) pss: time = 59.07 ps (88.6 %), step = 911.1 fs (1.37 %) pss: time = 62.09 ps (93.1 %), step = 934.5 fs (1.4 %) pss: time = 65.01 ps (97.5 %), step = 714.2 fs (1.07 %) Total time required for tstab analysis `pss': CPU = 48.993 ms, elapsed = 49.314 ms. Time accumulated: CPU = 15.3477 s, elapsed = 16.5558 s. Peak resident memory used = 314 Mbytes. Conv norm = 142e+03, max dI(NPORT0:t2) = 29.7274 uA, took 40 ms. Important parameter values in pss iteration: start = 0 s outputstart = 0 s stop = 66.6667 ps period = 66.6667 ps maxperiods = 20 steadyratio = 1e-03 step = 66.6667 fs maxstep = 1.33333 ps ic = all useprevic = no skipdc = no reltol = 1e-03 abstol(V) = 1 uV abstol(I) = 1 pA abstol(U) = 1 u temp = 27 C tnom = 27 C tempeffects = all errpreset = liberal method = traponly lteratio = 3.5 relref = sigglobal cmin = 0 F gmin = 1 pS ================================= `pss': time = (0 s -> 66.6667 ps) ================================= Notice from spectre during periodic steady state analysis `pss'. Nport NPORT2: Reuse impulse responses from previous calculation. pss: time = 1.702 ps (2.55 %), step = 277.8 fs (417 m%) pss: time = 5.036 ps (7.55 %), step = 277.8 fs (417 m%) pss: time = 8.369 ps (12.6 %), step = 277.8 fs (417 m%) pss: time = 11.7 ps (17.6 %), step = 277.8 fs (417 m%) pss: time = 15.04 ps (22.6 %), step = 277.8 fs (417 m%) pss: time = 18.37 ps (27.6 %), step = 277.8 fs (417 m%) pss: time = 21.7 ps (32.6 %), step = 277.8 fs (417 m%) pss: time = 25.04 ps (37.6 %), step = 277.8 fs (417 m%) pss: time = 28.37 ps (42.6 %), step = 277.8 fs (417 m%) pss: time = 31.7 ps (47.6 %), step = 277.8 fs (417 m%) pss: time = 35.04 ps (52.6 %), step = 277.8 fs (417 m%) pss: time = 38.37 ps (57.6 %), step = 277.8 fs (417 m%) pss: time = 41.7 ps (62.6 %), step = 277.8 fs (417 m%) pss: time = 45.04 ps (67.6 %), step = 277.8 fs (417 m%) pss: time = 48.37 ps (72.6 %), step = 277.8 fs (417 m%) pss: time = 51.7 ps (77.6 %), step = 277.8 fs (417 m%) pss: time = 55.04 ps (82.6 %), step = 277.8 fs (417 m%) pss: time = 58.37 ps (87.6 %), step = 277.8 fs (417 m%) pss: time = 61.7 ps (92.6 %), step = 277.8 fs (417 m%) pss: time = 65.04 ps (97.6 %), step = 277.8 fs (417 m%) Conv norm = 3.83e+03, max dI(NPORT2:t1) = 856.137 nA, took 150 ms. ================================= `pss': time = (0 s -> 66.6667 ps) ================================= pss: time = 1.702 ps (2.55 %), step = 277.8 fs (417 m%) pss: time = 5.036 ps (7.55 %), step = 277.8 fs (417 m%) pss: time = 8.369 ps (12.6 %), step = 277.8 fs (417 m%) pss: time = 11.7 ps (17.6 %), step = 277.8 fs (417 m%) pss: time = 15.04 ps (22.6 %), step = 277.8 fs (417 m%) pss: time = 18.37 ps (27.6 %), step = 277.8 fs (417 m%) pss: time = 21.7 ps (32.6 %), step = 277.8 fs (417 m%) pss: time = 25.04 ps (37.6 %), step = 277.8 fs (417 m%) pss: time = 28.37 ps (42.6 %), step = 277.8 fs (417 m%) pss: time = 31.7 ps (47.6 %), step = 277.8 fs (417 m%) pss: time = 35.04 ps (52.6 %), step = 277.8 fs (417 m%) pss: time = 38.37 ps (57.6 %), step = 277.8 fs (417 m%) pss: time = 41.7 ps (62.6 %), step = 277.8 fs (417 m%) pss: time = 45.04 ps (67.6 %), step = 277.8 fs (417 m%) pss: time = 48.37 ps (72.6 %), step = 277.8 fs (417 m%) pss: time = 51.7 ps (77.6 %), step = 277.8 fs (417 m%) pss: time = 55.04 ps (82.6 %), step = 277.8 fs (417 m%) pss: time = 58.37 ps (87.6 %), step = 277.8 fs (417 m%) pss: time = 61.7 ps (92.6 %), step = 277.8 fs (417 m%) pss: time = 65.04 ps (97.6 %), step = 277.8 fs (417 m%) Conv norm = 5.23e+03, max dI(PORT12:p) = -1.16872 uA, took 130 ms. ================================= `pss': time = (0 s -> 66.6667 ps) ================================= pss: time = 1.702 ps (2.55 %), step = 277.8 fs (417 m%) pss: time = 5.036 ps (7.55 %), step = 277.8 fs (417 m%) pss: time = 8.369 ps (12.6 %), step = 277.8 fs (417 m%) pss: time = 11.7 ps (17.6 %), step = 277.8 fs (417 m%) pss: time = 15.04 ps (22.6 %), step = 277.8 fs (417 m%) pss: time = 18.37 ps (27.6 %), step = 277.8 fs (417 m%) pss: time = 21.7 ps (32.6 %), step = 277.8 fs (417 m%) pss: time = 25.04 ps (37.6 %), step = 277.8 fs (417 m%) pss: time = 28.37 ps (42.6 %), step = 277.8 fs (417 m%) pss: time = 31.7 ps (47.6 %), step = 277.8 fs (417 m%) pss: time = 35.04 ps (52.6 %), step = 277.8 fs (417 m%) pss: time = 38.37 ps (57.6 %), step = 277.8 fs (417 m%) pss: time = 41.7 ps (62.6 %), step = 277.8 fs (417 m%) pss: time = 45.04 ps (67.6 %), step = 277.8 fs (417 m%) pss: time = 48.37 ps (72.6 %), step = 277.8 fs (417 m%) pss: time = 51.7 ps (77.6 %), step = 277.8 fs (417 m%) pss: time = 55.04 ps (82.6 %), step = 277.8 fs (417 m%) pss: time = 58.37 ps (87.6 %), step = 277.8 fs (417 m%) pss: time = 61.7 ps (92.6 %), step = 277.8 fs (417 m%) pss: time = 65.04 ps (97.6 %), step = 277.8 fs (417 m%) Conv norm = 412e-03, max dI(V1:p) = -96.9978 pA, took 140 ms. Opening the PSF file ../psf/pss.td.pss ... Opening the PSF file ../psf/pss.fd.pss ... Maximum value achieved for any signal of each quantity: V: V(C23.33) = 700 mV I: I(NPORT0:t2) = 29.73 uA U: U(NPORT0:s7) = 222 u If your circuit contains signals of the same quantity that are vastly different in size (such as high voltage circuitry combined with low voltage control circuitry), you should consider specifying global option `bin_relref=yes'. Initial condition solution time: CPU = 4.999 ms, elapsed = 6.19698 ms. pss: The steady-state solution was achieved in 4 iterations. Number of accepted pss steps = 241 Total time required for pss analysis `pss': CPU = 747.887 ms, elapsed = 792.945 ms. Time accumulated: CPU = 16.0116 s, elapsed = 17.2321 s. Peak resident memory used = 322 Mbytes. ***************************************************** Periodic AC Analysis `pac': freq = (55 GHz -> 67 GHz) ***************************************************** Opening the PSF file ../psf/pac.pac ... Opening the PSF file ../psf/pac.-3.pac ... Opening the PSF file ../psf/pac.-2.pac ... Opening the PSF file ../psf/pac.-1.pac ... Opening the PSF file ../psf/pac.0.pac ... Opening the PSF file ../psf/pac.1.pac ... Opening the PSF file ../psf/pac.2.pac ... Opening the PSF file ../psf/pac.3.pac ... Using the operating-point information generated by PSS analysis `pss'. Warning from spectre at freq = 55 GHz during PAC analysis `pac'. WARNING (CMI-2133): Risky extrapolation of data given in S-parameter file `/home/anr2/ygaoay/ideal_hybrid_coupler.s4p'. This may cause convergence or accuracy issues in simulator. Please extend the data to higher frequencies. WARNING (CMI-2133): Risky extrapolation of data given in S-parameter file `/home/anr2/ygaoay/ideal_hybrid_coupler.s4p'. This may cause convergence or accuracy issues in simulator. Please extend the data to higher frequencies. WARNING (CMI-2133): Risky extrapolation of data given in S-parameter file `/home/anr2/ygaoay/ideal_hybrid_coupler.s4p'. This may cause convergence or accuracy issues in simulator. Please extend the data to higher frequencies. WARNING (CMI-2133): Risky extrapolation of data given in S-parameter file `/home/anr2/ygaoay/ideal_hybrid_coupler.s4p'. This may cause convergence or accuracy issues in simulator. Please extend the data to higher frequencies. Warning from spectre at freq = 55.5 GHz during PAC analysis `pac'. WARNING (CMI-2133): Risky extrapolation of data given in S-parameter file `/home/anr2/ygaoay/ideal_hybrid_coupler.s4p'. This may cause convergence or accuracy issues in simulator. Please extend the data to higher frequencies. Further occurrences of this warning will be suppressed. pac: freq = 55.5 GHz (4.17 %), step = 500 MHz (4.17 %) pac: freq = 56 GHz (8.33 %), step = 500 MHz (4.17 %) pac: freq = 56.5 GHz (12.5 %), step = 500 MHz (4.17 %) pac: freq = 57 GHz (16.7 %), step = 500 MHz (4.17 %) pac: freq = 57.5 GHz (20.8 %), step = 500 MHz (4.17 %) pac: freq = 58 GHz (25 %), step = 500 MHz (4.17 %) pac: freq = 58.5 GHz (29.2 %), step = 500 MHz (4.17 %) pac: freq = 59 GHz (33.3 %), step = 500 MHz (4.17 %) pac: freq = 59.5 GHz (37.5 %), step = 500 MHz (4.17 %) pac: freq = 60 GHz (41.7 %), step = 500 MHz (4.17 %) pac: freq = 60.5 GHz (45.8 %), step = 500 MHz (4.17 %) pac: freq = 61 GHz (50 %), step = 500 MHz (4.17 %) pac: freq = 61.5 GHz (54.2 %), step = 500 MHz (4.17 %) pac: freq = 62 GHz (58.3 %), step = 500 MHz (4.17 %) pac: freq = 62.5 GHz (62.5 %), step = 500 MHz (4.17 %) pac: freq = 63 GHz (66.7 %), step = 500 MHz (4.17 %) pac: freq = 63.5 GHz (70.8 %), step = 500 MHz (4.17 %) pac: freq = 64 GHz (75 %), step = 500 MHz (4.17 %) pac: freq = 64.5 GHz (79.2 %), step = 500 MHz (4.17 %) pac: freq = 65 GHz (83.3 %), step = 500 MHz (4.17 %) pac: freq = 65.5 GHz (87.5 %), step = 500 MHz (4.17 %) pac: freq = 66 GHz (91.7 %), step = 500 MHz (4.17 %) pac: freq = 66.5 GHz (95.8 %), step = 500 MHz (4.17 %) pac: freq = 67 GHz (100 %), step = 500 MHz (4.17 %) Total time required for pac analysis `pac': CPU = 5.40218 s, elapsed = 5.49954 s. Time accumulated: CPU = 21.4157 s, elapsed = 22.7433 s. Peak resident memory used = 325 Mbytes. Notice from spectre. 99 warnings suppressed. NPORT0: `interp` value is set to `linear` for frequency-domain/dc/tran analysis, because BBSpice generated large fitting errors and the value of global option `nport_bbspice_to_linear` has been set to `yes`. S parameters are passive in file `/home/anr2/rfid/2021_Spring_wrk/data/May_30_HBC_TF2_v4_0_5l_180.s7p'. Circuit topology changed. ****************** DC Analysis `dcOp' ****************** Opening the PSF file ../psf/dcOp.dc ... Important parameter values: reltol = 1e-03 abstol(V) = 1 uV abstol(I) = 1 pA temp = 27 C tnom = 27 C tempeffects = all gmindc = 1 pS Maximum value achieved for any signal of each quantity: V: V(C23.6) = 700 mV I: I(V28:p) = 11.5 pA Convergence achieved in 3 iterations. DC simulation time: CPU = 3 ms, elapsed = 7.17688 ms. Total time required for dc analysis `dcOp': CPU = 8.999 ms, elapsed = 13.7451 ms. Time accumulated: CPU = 21.4267 s, elapsed = 22.7591 s. Peak resident memory used = 325 Mbytes. dcOpInfo: writing operating point information to rawfile. Opening the PSF file ../psf/dcOpInfo.info ... modelParameter: writing model parameter values to rawfile. Opening the PSF file ../psf/modelParameter.info ... element: writing instance parameter values to rawfile. Opening the PSF file ../psf/element.info ... outputParameter: writing output parameter values to rawfile. Opening the PSF file ../psf/outputParameter.info ... designParamVals: writing netlist parameters to rawfile. Opening the PSFASCII file ../psf/designParamVals.info ... primitives: writing primitives to rawfile. Opening the PSFASCII file ../psf/primitives.info.primitives ... subckts: writing subcircuits to rawfile. Opening the PSFASCII file ../psf/subckts.info.subckts ... Aggregate audit (11:47:12 AM, Wed Jun 23, 2021): Time used: CPU = 21.9 s, elapsed = 23.3 s, util. = 94.1%. Time spent in licensing: elapsed = 61.6 ms. Peak memory used = 325 Mbytes. Simulation started at: 11:46:49 AM, Wed Jun 23, 2021, ended at: 11:47:12 AM, Wed Jun 23, 2021, with elapsed time (wall clock): 23.3 s. spectre completes with 0 errors, 19 warnings, and 44 notices.