\# Program start time UTC 2014.12.17 02:52:48.878 \# Local time Tuesday 16 December 2014, 6:52 pm \o Program: @(#)$CDS: virtuoso version 6.1.5 07/04/2012 06:58 (sjfdl055) $ \o Hierarchy: /app/apps3/Cadence/IC615/tools.lnx86/dfII/ \o Sub version: sub-version IC6.1.5-64b.500.12 (64-bit addresses) \# Host name (type): icslsrv3.ee.ucla.edu (x86_64) \# Operating system: Linux 2.6.18-348.el5 #1 SMP Wed Nov 28 21:22:00 EST 2012 \# X display name (WxH): icslsrv3.ee.ucla.edu:80.0 (1024x868) \# Available geometry: TL(0:0) BR(1023:867) \# X server: RealVNC Ltd (VNC nograph server) \# Depth of Visual (Root): 16 (16) \# Number of Planes Used: 16 \# X version: 11.0 (vendor release 3370) \# X resource pool: base 0x1000000, mask 0x3fffff (4194303), shift 0 \# current id 0x31, current max 0x3ffffa (4194298) \# Memory report: maximum data size 18446744073709551615 (0xffffffffffffffff) bytes \# Memory report: maximum process size 18446744073709551615 (0xffffffffffffffff) bytes \# Initial sbrk value: 486313984 (0x1cfc9000) bytes \# Available memory: 275519972 (0x106c19e4) kilobytes \# System memory: 408598340 (0x185ab744) kilobytes \# Maximum memory size: 418404700160 (0x616add1000) bytes \# Max mem available: 282180505600 (0x41b344d000) bytes \# Initial memory used: 48054272 (0x2dd4000) bytes \# Qt version: 4.5.3 \# Window Manager: other \# User Name: qnehal \o Working Directory: icslsrv3.ee.ucla.edu:/w/ee.00/abidi/qnehal/Cadence_TSMC/N28 \# Process Id: 18720 \o \o COPYRIGHT © 1992-2012 CADENCE DESIGN SYSTEMS INC. ALL RIGHTS RESERVED. \o © 1992-2012 UNIX SYSTEMS Laboratories INC., \o Reproduced with permission. \o \o This Cadence Design Systems program and online documentation are \o proprietary/confidential information and may be disclosed/used only \o as authorized in a license agreement controlling such use and disclosure. \o \o RESTRICTED RIGHTS NOTICE (SHORT FORM) \o Use/reproduction/disclosure is subject to restriction \o set forth at FAR 1252.227-19 or its equivalent. \# Available memory: 275507580 (0x106be97c) kilobytes UTC 2014.12.17 02:52:49.441 \# Memory report: Maximum memory size now 282191785984 (0x41b3f0f000) bytes, UTC 2014.12.17 02:52:49.441 \o Loading geView.cxt \o Loading menuBuilder.cxt \o Loading schView.cxt \o Loading selectSv.cxt \o Loading LVS.cxt \o Loading layerProc.cxt \o Loading xlUI.cxt \o Loading auCore.cxt \o Loading vhdl.cxt \o Loading seismic.cxt \o Loading ci.cxt \o Loading ams.cxt \o Loading adexl.cxt \o Loading oasis.cxt \o Loading asimenv.cxt \o Loading analog.cxt \o Loading par.cxt \o Loading socket.cxt \o Loading alvs.cxt \o *Info* Exporting services from client ... \o \o END OF USER CUSTOMIZATION \o *Info* Client has finished starting ... \o \p > \o \o \o *Info* Configuring the session ... \o \o Library = CELL28_TIA_Sec_Order \o Cell = tb_CLK_16PH_Weight_Recomb \o View = schematic \o Simulator = spectre \o State Path = $AXL_SETUPDB_DIR/test_states \o State Name = CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1_none_Interactive.6 \o Results DB = /w/ee.00/abidi/qnehal/Cadence_TSMC/N28/CELL28_TIA_Sec_Order/tb_CLK_16PH_Weight_Recomb/adexl/results/data/Interactive.6.rdb \o Results Dir = /w/ee.00/abidi/qnehal/simulation/CELL28_TIA_Sec_Order/tb_CLK_16PH_Weight_Recomb/adexl/results/data/Interactive.6/1/CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1 \o Results Loc = /w/ee.00/abidi/qnehal/simulation/CELL28_TIA_Sec_Order/tb_CLK_16PH_Weight_Recomb/adexl/results/data \o Project Dir = /u1/ee/qnehal/simulation \o Setup DB loc = /w/ee.00/abidi/qnehal/Cadence_TSMC/N28/CELL28_TIA_Sec_Order/tb_CLK_16PH_Weight_Recomb/adexl \o File Encoding = 0 \o \o \o Loading simui.cxt \o Loading awv.cxt \o Loading viva.cxt \o *Info* Spectre controlMode is set "batch". \o \o Loading spectrei.cxt \o Loading relXpert.cxt \o Initializing from libInit.il for library tsmcN28... \o -- tsmcLDEparamTable.il \o -- cniUtils_encrypted.il \o -- tsmcPdkParamTable.il \o -- py2il_loadcdn.il \o -- py2il.cdn \# Memory report: now 695545856 (0x29753000) bytes, UTC 2014.12.17 02:53:05.809 \o -- py2il_n28.cdn \o -- /w/ee.00/abidi/qnehal/TSMC28nmPDK/tsmcN28_6X/PDK/T-N28-CR-SP-010-W1/1d0_2p1a/tsmcN28/../skill/Patch/tsmcCRN28HPM_v1.0_2p1a_1.cxt \o -- tsmcSim_cdf_api.cxt \o -- tsmcN28Tool.cxt \o -- tsmcDNA_utility.cxt \o -- tsmc_LDE.cxt \o -- tsmcN28.cxt \o -- tsmcN28_gateCB.cxt \o -- tsmc_esti.ile \o Loading hspiceD.cxt \o Loading spectreinl.cxt \o Loading msgHandler.cxt \o Loading UltraSim.cxt \o Loading AMSOSS.cxt \o Loading AMS.cxt \o Loading Working place Display File '/w/ee.00/abidi/qnehal/Cadence_TSMC/N28/display.drf' \o WARNING (ADE-5033): Cannot find the environment variable 'sweeptype' for tool 'ams.hbsp'. \o Its internal default value will be used. \o WARNING (ADE-5034): Unable to access the default environment variables in the simulator's \o global .cdsenv file ('/tools/dfII/etc/tools/ams/.cdsenv') \o because the file is missing or out-of-date. Till this problem exists, internal \o default values will be used for these environment variables during this session. \o WARNING (ADE-5033): Cannot find the environment variable 'rangeType' for tool 'ams.hbsp'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'noisetypeUI' for tool 'ams.hbnoise'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'MultiEna' for tool 'ams.hbnoise'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'rangeType' for tool 'ams.hbnoise'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'sbsm' for tool 'ams.hbnoise'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'inType' for tool 'ams.hbnoise'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'refsbSelect' for tool 'ams.hbnoise'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'outType' for tool 'ams.hbnoise'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'donoise' for tool 'ams.hbnoise'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'special' for tool 'ams.hbac'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'rangeType' for tool 'ams.hbac'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'sbsm' for tool 'ams.hbac'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'tones' for tool 'ams.hb'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'oscana' for tool 'ams.hb'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'HBTonesNum' for tool 'ams.hb'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'harmonicsCyc' for tool 'ams.hb'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'harmonics' for tool 'ams.hb'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'sigpartB' for tool 'ams.hb'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'hbhomotopy' for tool 'ams.hb'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'sweepB' for tool 'ams.hb'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'sweep' for tool 'ams.hb'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'sweep2' for tool 'ams.hb'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'sweep3' for tool 'ams.hb'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'loadpullB' for tool 'ams.hb'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'sweeptype' for tool 'ams.qpsp'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'rangeType' for tool 'ams.qpsp'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'rangeType' for tool 'ams.qpxf'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'sbsm' for tool 'ams.qpxf'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'outType' for tool 'ams.qpxf'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'MultiEna' for tool 'ams.qpnoise'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'rangeType' for tool 'ams.qpnoise'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'sbsm' for tool 'ams.qpnoise'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'inType' for tool 'ams.qpnoise'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'refsbSelect' for tool 'ams.qpnoise'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'outType' for tool 'ams.qpnoise'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'rangeType' for tool 'ams.qpac'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'sbsm' for tool 'ams.qpac'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'sweeptype' for tool 'ams.psp'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'rangeType' for tool 'ams.psp'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'special' for tool 'ams.pxf'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'outType' for tool 'ams.pxf'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'rangeType' for tool 'ams.pxf'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'harmonics' for tool 'ams.pxf'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'noisetypeUI' for tool 'ams.pnoise'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'MultiEna' for tool 'ams.pnoise'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'rangeType' for tool 'ams.pnoise'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'harmonics' for tool 'ams.pnoise'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'pnoisemethod' for tool 'ams.pnoise'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'inType' for tool 'ams.pnoise'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'refsbSelect' for tool 'ams.pnoise'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'outType' for tool 'ams.pnoise'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'rangeType' for tool 'ams.pstb'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'special' for tool 'ams.pac'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'rangeType' for tool 'ams.pac'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'harmonics' for tool 'ams.pac'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'engine' for tool 'ams.pss'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'harmonics' for tool 'ams.pss'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'hbhomotopy' for tool 'ams.pss'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'oscana' for tool 'ams.pss'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'sweepB' for tool 'ams.pss'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'sweep' for tool 'ams.pss'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'sweep2' for tool 'ams.pss'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'sweep3' for tool 'ams.pss'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'loadpullB' for tool 'ams.pss'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'sweep' for tool 'ams.sp'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'rangeType' for tool 'ams.sp'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'donoise' for tool 'ams.sp'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'sweep' for tool 'ams.xf'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'rangeType' for tool 'ams.xf'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'outType' for tool 'ams.xf'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'sweep' for tool 'ams.noise'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'rangeType' for tool 'ams.noise'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'outType' for tool 'ams.noise'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'inType' for tool 'ams.noise'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'sweep' for tool 'ams.dc'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'sweep' for tool 'ams.stb'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'rangeType' for tool 'ams.stb'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'outType' for tool 'ams.dcmatch'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'sweep' for tool 'ams.dcmatch'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'outType' for tool 'ams.pz'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'inType' for tool 'ams.pz'. \o Its internal default value will be used. \o WARNING (ADE-5033): Cannot find the environment variable 'sweep' for tool 'ams.pz'. \o Its internal default value will be used. \o Loading devCheck.cxt \o \o \o \o \o \o *Info* Run start for Point ID (0 1) on testbench [ \o CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1 ]. \o \o Resetting statistical vars \o \o *Info* Setting parameter values ... \o \o Setting var Acmfb = "100" \o Setting var Cf = "8p" \o Setting var Ci = "50p" \o Setting var Cout = "0" \o Setting var Gm_TIA = "12.5m" \o Setting var Gm_cmfb = "4m" \o Setting var M = "16" \o Setting var Rcm = "1M" \o Setting var Rf = "10k" \o Setting var Rout = "0" \o Setting var Rswmain = "45" \o Setting var Vg_MIX_bias = "0" \o Setting var flo = "400M" \o Setting var gamma = "1" \o Setting temp(T) = 27 \o Setting var tf = "250e-15" \o Setting var tr = "250e-15" \o \o *Info* Netlist Directory = \o /w/ee.00/abidi/qnehal/simulation/CELL28_TIA_Sec_Order/tb_CLK_16PH_Weight_Recomb/adexl/results/data/Interactive.6/1/CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1/netlist \o \o \o *Info* Data Directory = \o /w/ee.00/abidi/qnehal/simulation/CELL28_TIA_Sec_Order/tb_CLK_16PH_Weight_Recomb/adexl/results/data/Interactive.6/1/CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1 \o \o \o *Info* Creating Netlist for Point ID (0 1) \o \o generate netlist... \o Loading seCore.cxt \o Begin Incremental Netlisting Dec 16 18:53:09 2014 \o End netlisting Dec 16 18:53:09 2014 \o \o The netlist is up to date. \o Time taken to compare the design with netlist: 0.0s \o ...successful. \o compose simulator input file... \o ...successful. \o \o *Info* Running simulation on testbench [ \o CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1 ] for \o Point ID (0 1). \o \o Delete psf data in /w/ee.00/abidi/qnehal/simulation/CELL28_TIA_Sec_Order/tb_CLK_16PH_Weight_Recomb/adexl/results/data/Interactive.6/1/CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1/psf. \o compose simulator input file... \o ...successful. \o start simulator if needed... \o ...successful. \o simulate... \o To view the simulator output, right-click on the test name, result \o name, or any value in the "Results" tab of the Outputs section and \o choose "Output Log" menu option. \o Loading paraplot.cxt \o INFO (ADE-3067): Errors encountered during simulation. For more information, right-click \o on a test name in the 'Results' tab of the Outputs pane and choose 'Output Log' \o to view the simulation run log. \o \o *Error* Error ID = 5011 \o *Error* Error Msg = Simulator failed to complete the simulation. \o \o \o \o \o \o \o \o \o \o \o *Info* Run start for Point ID (0 1) on testbench [ \o CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1 ]. \o \o Resetting statistical vars \o \o *Info* Setting parameter values ... \o \o Setting var Acmfb = "100" \o Setting var Cf = "8p" \o Setting var Ci = "50p" \o Setting var Cout = "0" \o Setting var Gm_TIA = "12.5m" \o Setting var Gm_cmfb = "4m" \o Setting var M = "16" \o Setting var Rcm = "1M" \o Setting var Rf = "10k" \o Setting var Rout = "0" \o Setting var Rswmain = "45" \o Setting var Vg_MIX_bias = "0" \o Setting var flo = "400M" \o Setting var gamma = "1" \o Setting temp(T) = 27 \o Setting var tf = "250e-15" \o Setting var tr = "250e-15" \o \o *Info* Netlist Directory = \o /w/ee.00/abidi/qnehal/simulation/CELL28_TIA_Sec_Order/tb_CLK_16PH_Weight_Recomb/adexl/results/data/Interactive.6/1/CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1/netlist \o \o \o *Info* Data Directory = \o /w/ee.00/abidi/qnehal/simulation/CELL28_TIA_Sec_Order/tb_CLK_16PH_Weight_Recomb/adexl/results/data/Interactive.6/1/CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1 \o \o \o *Info* Creating Netlist for Point ID (0 1) \o \o generate netlist... \o Begin Incremental Netlisting Dec 16 18:53:23 2014 \o End netlisting Dec 16 18:53:23 2014 \o \o The netlist is up to date. \o Time taken to compare the design with netlist: 0.0s \o ...successful. \o compose simulator input file... \o ...successful. \o \o *Info* Running simulation on testbench [ \o CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1 ] for \o Point ID (0 1). \o \o Delete psf data in /w/ee.00/abidi/qnehal/simulation/CELL28_TIA_Sec_Order/tb_CLK_16PH_Weight_Recomb/adexl/results/data/Interactive.6/1/CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1/psf. \o compose simulator input file... \o ...successful. \o start simulator if needed... \o ...successful. \o simulate... \o To view the simulator output, right-click on the test name, result \o name, or any value in the "Results" tab of the Outputs section and \o choose "Output Log" menu option. \o INFO (ADE-3067): Errors encountered during simulation. For more information, right-click \o on a test name in the 'Results' tab of the Outputs pane and choose 'Output Log' \o to view the simulation run log. \o \o *Error* Error ID = 5011 \o *Error* Error Msg = Simulator failed to complete the simulation. \o \o \o \o \o \o Simulation design variables differ from those on the cellView, \o they have been saved in the file "/tmp/saved-design-variables2". \o To save future changes, copy variables to cellView before exiting. \o \o \o *Info* Configuring the session ... \o \o Library = CELL28_TIA_Sec_Order \o Cell = tb_CLK_16PH_Weight_Recomb \o View = schematic \o Simulator = spectre \o State Path = $AXL_SETUPDB_DIR/test_states \o State Name = CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1_none_Interactive.7 \o Results DB = /w/ee.00/abidi/qnehal/Cadence_TSMC/N28/CELL28_TIA_Sec_Order/tb_CLK_16PH_Weight_Recomb/adexl/results/data/Interactive.7.rdb \o Results Dir = /w/ee.00/abidi/qnehal/simulation/CELL28_TIA_Sec_Order/tb_CLK_16PH_Weight_Recomb/adexl/results/data/Interactive.7/1/CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1 \o Results Loc = /w/ee.00/abidi/qnehal/simulation/CELL28_TIA_Sec_Order/tb_CLK_16PH_Weight_Recomb/adexl/results/data \o Project Dir = /u1/ee/qnehal/simulation \o Setup DB loc = /w/ee.00/abidi/qnehal/Cadence_TSMC/N28/CELL28_TIA_Sec_Order/tb_CLK_16PH_Weight_Recomb/adexl \o File Encoding = 0 \o \o \o *Info* Spectre controlMode is set "batch". \o \o \o \o \o \o \o *Info* Run start for Point ID (1 1) on testbench [ \o CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1 ]. \o \o Resetting statistical vars \o \o *Info* Setting parameter values ... \o \o Setting var Acmfb = "100" \o Setting var Cf = "8p" \o Setting var Ci = "50p" \o Setting var Cout = "0" \o Setting var Gm_TIA = "12.5m" \o Setting var Gm_cmfb = "4m" \o Setting var M = "16" \o Setting var Rcm = "1M" \o Setting var Rf = "10k" \o Setting var Rout = "1" \o Setting var Rswmain = "45" \o Setting var Vg_MIX_bias = "0" \o Setting var flo = "400M" \o Setting var gamma = "1" \o Setting temp(T) = 27 \o Setting var tf = "250e-15" \o Setting var tr = "250e-15" \o \o *Info* Netlist Directory = \o /w/ee.00/abidi/qnehal/simulation/CELL28_TIA_Sec_Order/tb_CLK_16PH_Weight_Recomb/adexl/results/data/Interactive.7/1/CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1/netlist \o \o \o *Info* Data Directory = \o /w/ee.00/abidi/qnehal/simulation/CELL28_TIA_Sec_Order/tb_CLK_16PH_Weight_Recomb/adexl/results/data/Interactive.7/1/CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1 \o \o \o *Info* Creating Netlist for Point ID (1 1) \o \o generate netlist... \o Begin Incremental Netlisting Dec 16 18:54:59 2014 \o End netlisting Dec 16 18:54:59 2014 \o \o The netlist is up to date. \o Time taken to compare the design with netlist: 0.0s \o ...successful. \o compose simulator input file... \o ...successful. \o \o *Info* Running simulation on testbench [ \o CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1 ] for \o Point ID (1 1). \o \o Delete psf data in /w/ee.00/abidi/qnehal/simulation/CELL28_TIA_Sec_Order/tb_CLK_16PH_Weight_Recomb/adexl/results/data/Interactive.7/1/CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1/psf. \o compose simulator input file... \o ...successful. \o start simulator if needed... \o ...successful. \o simulate... \o To view the simulator output, right-click on the test name, result \o name, or any value in the "Results" tab of the Outputs section and \o choose "Output Log" menu option. \o INFO (ADE-3067): Errors encountered during simulation. For more information, right-click \o on a test name in the 'Results' tab of the Outputs pane and choose 'Output Log' \o to view the simulation run log. \o \o *Error* Error ID = 5011 \o *Error* Error Msg = Simulator failed to complete the simulation. \o \o \o \o \o \o \o \o \o \o \o *Info* Run start for Point ID (1 1) on testbench [ \o CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1 ]. \o \o Resetting statistical vars \o \o *Info* Setting parameter values ... \o \o Setting var Acmfb = "100" \o Setting var Cf = "8p" \o Setting var Ci = "50p" \o Setting var Cout = "0" \o Setting var Gm_TIA = "12.5m" \o Setting var Gm_cmfb = "4m" \o Setting var M = "16" \o Setting var Rcm = "1M" \o Setting var Rf = "10k" \o Setting var Rout = "1" \o Setting var Rswmain = "45" \o Setting var Vg_MIX_bias = "0" \o Setting var flo = "400M" \o Setting var gamma = "1" \o Setting temp(T) = 27 \o Setting var tf = "250e-15" \o Setting var tr = "250e-15" \o \o *Info* Netlist Directory = \o /w/ee.00/abidi/qnehal/simulation/CELL28_TIA_Sec_Order/tb_CLK_16PH_Weight_Recomb/adexl/results/data/Interactive.7/1/CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1/netlist \o \o \o *Info* Data Directory = \o /w/ee.00/abidi/qnehal/simulation/CELL28_TIA_Sec_Order/tb_CLK_16PH_Weight_Recomb/adexl/results/data/Interactive.7/1/CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1 \o \o \o *Info* Creating Netlist for Point ID (1 1) \o \o generate netlist... \o Begin Incremental Netlisting Dec 16 18:55:03 2014 \o End netlisting Dec 16 18:55:03 2014 \o \o The netlist is up to date. \o Time taken to compare the design with netlist: 0.0s \o ...successful. \o compose simulator input file... \o ...successful. \o \o *Info* Running simulation on testbench [ \o CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1 ] for \o Point ID (1 1). \o \o Delete psf data in /w/ee.00/abidi/qnehal/simulation/CELL28_TIA_Sec_Order/tb_CLK_16PH_Weight_Recomb/adexl/results/data/Interactive.7/1/CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1/psf. \o compose simulator input file... \o ...successful. \o start simulator if needed... \o ...successful. \o simulate... \o To view the simulator output, right-click on the test name, result \o name, or any value in the "Results" tab of the Outputs section and \o choose "Output Log" menu option. \o INFO (ADE-3067): Errors encountered during simulation. For more information, right-click \o on a test name in the 'Results' tab of the Outputs pane and choose 'Output Log' \o to view the simulation run log. \o \o *Error* Error ID = 5011 \o *Error* Error Msg = Simulator failed to complete the simulation. \o \o \o \o \o \# Memory report: Maximum memory size now 271717994496 (0x3f43a79000) bytes, UTC 2014.12.17 02:58:10.210 \o Simulation design variables differ from those on the cellView, \o they have been saved in the file "/tmp/saved-design-variables2". \o To save future changes, copy variables to cellView before exiting. \o \o \o *Info* Configuring the session ... \o \o Library = CELL28_TIA_Sec_Order \o Cell = tb_CLK_16PH_Weight_Recomb \o View = schematic \o Simulator = spectre \o State Path = $AXL_SETUPDB_DIR/test_states \o State Name = CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1_none_Interactive.8 \o Results DB = /w/ee.00/abidi/qnehal/Cadence_TSMC/N28/CELL28_TIA_Sec_Order/tb_CLK_16PH_Weight_Recomb/adexl/results/data/Interactive.8.rdb \o Results Dir = /w/ee.00/abidi/qnehal/simulation/CELL28_TIA_Sec_Order/tb_CLK_16PH_Weight_Recomb/adexl/results/data/Interactive.8/1/CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1 \o Results Loc = /w/ee.00/abidi/qnehal/simulation/CELL28_TIA_Sec_Order/tb_CLK_16PH_Weight_Recomb/adexl/results/data \o Project Dir = /u1/ee/qnehal/simulation \o Setup DB loc = /w/ee.00/abidi/qnehal/Cadence_TSMC/N28/CELL28_TIA_Sec_Order/tb_CLK_16PH_Weight_Recomb/adexl \o File Encoding = 0 \o \o \o *Info* Spectre controlMode is set "batch". \o \o \o \o \o \o \o *Info* Run start for Point ID (2 1) on testbench [ \o CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1 ]. \o \o Resetting statistical vars \o \o *Info* Setting parameter values ... \o \o Setting var Acmfb = "100" \o Setting var Cf = "8p" \o Setting var Ci = "50p" \o Setting var Cout = "0" \o Setting var Gm_TIA = "12.5m" \o Setting var Gm_cmfb = "4m" \o Setting var M = "16" \o Setting var Rcm = "1M" \o Setting var Rf = "10k" \o Setting var Rout = "1" \o Setting var Rswmain = "45" \o Setting var Vg_MIX_bias = "0" \o Setting var flo = "400M" \o Setting var gamma = "1" \o Setting temp(T) = 27 \o Setting var tf = "250e-15" \o Setting var tr = "250e-15" \o \o *Info* Netlist Directory = \o /w/ee.00/abidi/qnehal/simulation/CELL28_TIA_Sec_Order/tb_CLK_16PH_Weight_Recomb/adexl/results/data/Interactive.8/1/CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1/netlist \o \o \o *Info* Data Directory = \o /w/ee.00/abidi/qnehal/simulation/CELL28_TIA_Sec_Order/tb_CLK_16PH_Weight_Recomb/adexl/results/data/Interactive.8/1/CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1 \o \o \o *Info* Creating Netlist for Point ID (2 1) \o \o generate netlist... \o Begin Incremental Netlisting Dec 16 18:59:02 2014 \o End netlisting Dec 16 18:59:02 2014 \o \o The netlist is up to date. \o Time taken to compare the design with netlist: 0.0s \o ...successful. \o compose simulator input file... \o ...successful. \o \o *Info* Running simulation on testbench [ \o CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1 ] for \o Point ID (2 1). \o \o Delete psf data in /w/ee.00/abidi/qnehal/simulation/CELL28_TIA_Sec_Order/tb_CLK_16PH_Weight_Recomb/adexl/results/data/Interactive.8/1/CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1/psf. \o compose simulator input file... \o ...successful. \o start simulator if needed... \o ...successful. \o simulate... \o To view the simulator output, right-click on the test name, result \o name, or any value in the "Results" tab of the Outputs section and \o choose "Output Log" menu option. \o INFO (ADE-3067): Errors encountered during simulation. For more information, right-click \o on a test name in the 'Results' tab of the Outputs pane and choose 'Output Log' \o to view the simulation run log. \o \o *Error* Error ID = 5011 \o *Error* Error Msg = Simulator failed to complete the simulation. \o \o \o \o \o \o \o \o \o \o \o *Info* Run start for Point ID (2 1) on testbench [ \o CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1 ]. \o \o Resetting statistical vars \o \o *Info* Setting parameter values ... \o \o Setting var Acmfb = "100" \o Setting var Cf = "8p" \o Setting var Ci = "50p" \o Setting var Cout = "0" \o Setting var Gm_TIA = "12.5m" \o Setting var Gm_cmfb = "4m" \o Setting var M = "16" \o Setting var Rcm = "1M" \o Setting var Rf = "10k" \o Setting var Rout = "1" \o Setting var Rswmain = "45" \o Setting var Vg_MIX_bias = "0" \o Setting var flo = "400M" \o Setting var gamma = "1" \o Setting temp(T) = 27 \o Setting var tf = "250e-15" \o Setting var tr = "250e-15" \o \o *Info* Netlist Directory = \o /w/ee.00/abidi/qnehal/simulation/CELL28_TIA_Sec_Order/tb_CLK_16PH_Weight_Recomb/adexl/results/data/Interactive.8/1/CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1/netlist \o \o \o *Info* Data Directory = \o /w/ee.00/abidi/qnehal/simulation/CELL28_TIA_Sec_Order/tb_CLK_16PH_Weight_Recomb/adexl/results/data/Interactive.8/1/CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1 \o \o \o *Info* Creating Netlist for Point ID (2 1) \o \o generate netlist... \o Begin Incremental Netlisting Dec 16 18:59:15 2014 \o End netlisting Dec 16 18:59:15 2014 \o \o The netlist is up to date. \o Time taken to compare the design with netlist: 0.0s \o ...successful. \o compose simulator input file... \o ...successful. \o \o *Info* Running simulation on testbench [ \o CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1 ] for \o Point ID (2 1). \o \o Delete psf data in /w/ee.00/abidi/qnehal/simulation/CELL28_TIA_Sec_Order/tb_CLK_16PH_Weight_Recomb/adexl/results/data/Interactive.8/1/CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1/psf. \o compose simulator input file... \o ...successful. \o start simulator if needed... \o ...successful. \o simulate... \o To view the simulator output, right-click on the test name, result \o name, or any value in the "Results" tab of the Outputs section and \o choose "Output Log" menu option. \o INFO (ADE-3067): Errors encountered during simulation. For more information, right-click \o on a test name in the 'Results' tab of the Outputs pane and choose 'Output Log' \o to view the simulation run log. \o \o *Error* Error ID = 5011 \o *Error* Error Msg = Simulator failed to complete the simulation. \o \o \o \o \o \o Simulation design variables differ from those on the cellView, \o they have been saved in the file "/tmp/saved-design-variables2". \o To save future changes, copy variables to cellView before exiting. \o \o \o *Info* Configuring the session ... \o \o Library = CELL28_TIA_Sec_Order \o Cell = tb_CLK_16PH_Weight_Recomb \o View = schematic \o Simulator = spectre \o State Path = $AXL_SETUPDB_DIR/test_states \o State Name = CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1_none_Interactive.6 \o Results DB = /w/ee.00/abidi/qnehal/Cadence_TSMC/N28/CELL28_TIA_Sec_Order/tb_CLK_16PH_Weight_Recomb/adexl/results/data/Interactive.6.rdb \o Results Dir = /w/ee.00/abidi/qnehal/simulation/CELL28_TIA_Sec_Order/tb_CLK_16PH_Weight_Recomb/adexl/results/data/Interactive.6/1/CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1 \o Results Loc = /w/ee.00/abidi/qnehal/simulation/CELL28_TIA_Sec_Order/tb_CLK_16PH_Weight_Recomb/adexl/results/data \o Project Dir = /u1/ee/qnehal/simulation \o Setup DB loc = /w/ee.00/abidi/qnehal/Cadence_TSMC/N28/CELL28_TIA_Sec_Order/tb_CLK_16PH_Weight_Recomb/adexl \o File Encoding = 0 \o \o \o *Info* Spectre controlMode is set "batch". \o \o \o \o \o \o \o *Info* Run start for Point ID (3 1) on testbench [ \o CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1 ]. \o \o Resetting statistical vars \o \o *Info* Setting parameter values ... \o \o Setting var Acmfb = "100" \o Setting var Cf = "8p" \o Setting var Ci = "50p" \o Setting var Cout = "0" \o Setting var Gm_TIA = "12.5m" \o Setting var Gm_cmfb = "4m" \o Setting var M = "16" \o Setting var Rcm = "1M" \o Setting var Rf = "10k" \o Setting var Rout = "1" \o Setting var Rswmain = "45" \o Setting var Vg_MIX_bias = "0" \o Setting var flo = "400M" \o Setting var gamma = "1" \o Setting temp(T) = 27 \o Setting var tf = "250e-15" \o Setting var tr = "250e-15" \o \o *Info* Netlist Directory = \o /w/ee.00/abidi/qnehal/simulation/CELL28_TIA_Sec_Order/tb_CLK_16PH_Weight_Recomb/adexl/results/data/Interactive.6/1/CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1/netlist \o \o \o *Info* Data Directory = \o /w/ee.00/abidi/qnehal/simulation/CELL28_TIA_Sec_Order/tb_CLK_16PH_Weight_Recomb/adexl/results/data/Interactive.6/1/CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1 \o \o \o *Info* Creating Netlist for Point ID (3 1) \o \o generate netlist... \o Begin Incremental Netlisting Dec 16 19:00:11 2014 \o End netlisting Dec 16 19:00:11 2014 \o \o The netlist is up to date. \o Time taken to compare the design with netlist: 0.0s \o ...successful. \o compose simulator input file... \o ...successful. \o \o *Info* Running simulation on testbench [ \o CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1 ] for \o Point ID (3 1). \o \o Delete psf data in /w/ee.00/abidi/qnehal/simulation/CELL28_TIA_Sec_Order/tb_CLK_16PH_Weight_Recomb/adexl/results/data/Interactive.6/1/CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1/psf. \o compose simulator input file... \o ...successful. \o start simulator if needed... \o ...successful. \o simulate... \o To view the simulator output, right-click on the test name, result \o name, or any value in the "Results" tab of the Outputs section and \o choose "Output Log" menu option. \o INFO (ADE-3067): Errors encountered during simulation. For more information, right-click \o on a test name in the 'Results' tab of the Outputs pane and choose 'Output Log' \o to view the simulation run log. \o \o *Error* Error ID = 5011 \o *Error* Error Msg = Simulator failed to complete the simulation. \o \o \o \o \o \o \o \o \o \o \o *Info* Run start for Point ID (3 1) on testbench [ \o CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1 ]. \o \o Resetting statistical vars \o \o *Info* Setting parameter values ... \o \o Setting var Acmfb = "100" \o Setting var Cf = "8p" \o Setting var Ci = "50p" \o Setting var Cout = "0" \o Setting var Gm_TIA = "12.5m" \o Setting var Gm_cmfb = "4m" \o Setting var M = "16" \o Setting var Rcm = "1M" \o Setting var Rf = "10k" \o Setting var Rout = "1" \o Setting var Rswmain = "45" \o Setting var Vg_MIX_bias = "0" \o Setting var flo = "400M" \o Setting var gamma = "1" \o Setting temp(T) = 27 \o Setting var tf = "250e-15" \o Setting var tr = "250e-15" \o \o *Info* Netlist Directory = \o /w/ee.00/abidi/qnehal/simulation/CELL28_TIA_Sec_Order/tb_CLK_16PH_Weight_Recomb/adexl/results/data/Interactive.6/1/CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1/netlist \o \o \o *Info* Data Directory = \o /w/ee.00/abidi/qnehal/simulation/CELL28_TIA_Sec_Order/tb_CLK_16PH_Weight_Recomb/adexl/results/data/Interactive.6/1/CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1 \o \o \o *Info* Creating Netlist for Point ID (3 1) \o \o generate netlist... \o Begin Incremental Netlisting Dec 16 19:00:18 2014 \o End netlisting Dec 16 19:00:18 2014 \o \o The netlist is up to date. \o Time taken to compare the design with netlist: 0.0s \o ...successful. \o compose simulator input file... \o ...successful. \o \o *Info* Running simulation on testbench [ \o CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1 ] for \o Point ID (3 1). \o \o Delete psf data in /w/ee.00/abidi/qnehal/simulation/CELL28_TIA_Sec_Order/tb_CLK_16PH_Weight_Recomb/adexl/results/data/Interactive.6/1/CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1/psf. \o compose simulator input file... \o ...successful. \o start simulator if needed... \o ...successful. \o simulate... \o To view the simulator output, right-click on the test name, result \o name, or any value in the "Results" tab of the Outputs section and \o choose "Output Log" menu option. \o INFO (ADE-3067): Errors encountered during simulation. For more information, right-click \o on a test name in the 'Results' tab of the Outputs pane and choose 'Output Log' \o to view the simulation run log. \o \o *Error* Error ID = 5011 \o *Error* Error Msg = Simulator failed to complete the simulation. \o \o \o \o \o \o Simulation design variables differ from those on the cellView, \o they have been saved in the file "/tmp/saved-design-variables2". \o To save future changes, copy variables to cellView before exiting. \o \o \o *Info* Configuring the session ... \o \o Library = CELL28_TIA_Sec_Order \o Cell = tb_CLK_16PH_Weight_Recomb \o View = schematic \o Simulator = spectre \o State Path = $AXL_SETUPDB_DIR/test_states \o State Name = CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1_none_Interactive.6 \o Results DB = /w/ee.00/abidi/qnehal/Cadence_TSMC/N28/CELL28_TIA_Sec_Order/tb_CLK_16PH_Weight_Recomb/adexl/results/data/Interactive.6.rdb \o Results Dir = /w/ee.00/abidi/qnehal/simulation/CELL28_TIA_Sec_Order/tb_CLK_16PH_Weight_Recomb/adexl/results/data/Interactive.6/1/CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1 \o Results Loc = /w/ee.00/abidi/qnehal/simulation/CELL28_TIA_Sec_Order/tb_CLK_16PH_Weight_Recomb/adexl/results/data \o Project Dir = /u1/ee/qnehal/simulation \o Setup DB loc = /w/ee.00/abidi/qnehal/Cadence_TSMC/N28/CELL28_TIA_Sec_Order/tb_CLK_16PH_Weight_Recomb/adexl \o File Encoding = 0 \o \o \o *Info* Spectre controlMode is set "batch". \o \o \o \o \o \o \o *Info* Run start for Point ID (4 1) on testbench [ \o CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1 ]. \o \o Resetting statistical vars \o \o *Info* Setting parameter values ... \o \o Setting var Acmfb = "100" \o Setting var Cf = "8p" \o Setting var Ci = "50p" \o Setting var Cout = "0" \o Setting var Gm_TIA = "12.5m" \o Setting var Gm_cmfb = "4m" \o Setting var M = "16" \o Setting var Rcm = "1M" \o Setting var Rf = "10k" \o Setting var Rout = "1" \o Setting var Rswmain = "45" \o Setting var Vg_MIX_bias = "0" \o Setting var flo = "400M" \o Setting var gamma = "1" \o Setting temp(T) = 27 \o Setting var tf = "250e-15" \o Setting var tr = "250e-15" \o \o *Info* Netlist Directory = \o /w/ee.00/abidi/qnehal/simulation/CELL28_TIA_Sec_Order/tb_CLK_16PH_Weight_Recomb/adexl/results/data/Interactive.6/1/CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1/netlist \o \o \o *Info* Data Directory = \o /w/ee.00/abidi/qnehal/simulation/CELL28_TIA_Sec_Order/tb_CLK_16PH_Weight_Recomb/adexl/results/data/Interactive.6/1/CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1 \o \o \o *Info* Creating Netlist for Point ID (4 1) \o \o generate netlist... \o Begin Incremental Netlisting Dec 16 19:01:12 2014 \o End netlisting Dec 16 19:01:13 2014 \o \o The netlist is up to date. \o Time taken to compare the design with netlist: 1.0s \o ...successful. \o compose simulator input file... \o ...successful. \o \o *Info* Running simulation on testbench [ \o CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1 ] for \o Point ID (4 1). \o \o Delete psf data in /w/ee.00/abidi/qnehal/simulation/CELL28_TIA_Sec_Order/tb_CLK_16PH_Weight_Recomb/adexl/results/data/Interactive.6/1/CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1/psf. \o compose simulator input file... \o ...successful. \o start simulator if needed... \o ...successful. \o simulate... \o To view the simulator output, right-click on the test name, result \o name, or any value in the "Results" tab of the Outputs section and \o choose "Output Log" menu option. \o INFO (ADE-3067): Errors encountered during simulation. For more information, right-click \o on a test name in the 'Results' tab of the Outputs pane and choose 'Output Log' \o to view the simulation run log. \o \o *Error* Error ID = 5011 \o *Error* Error Msg = Simulator failed to complete the simulation. \o \o \o \o \o \o \o \o \o \o \o *Info* Run start for Point ID (4 1) on testbench [ \o CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1 ]. \o \o Resetting statistical vars \o \o *Info* Setting parameter values ... \o \o Setting var Acmfb = "100" \o Setting var Cf = "8p" \o Setting var Ci = "50p" \o Setting var Cout = "0" \o Setting var Gm_TIA = "12.5m" \o Setting var Gm_cmfb = "4m" \o Setting var M = "16" \o Setting var Rcm = "1M" \o Setting var Rf = "10k" \o Setting var Rout = "1" \o Setting var Rswmain = "45" \o Setting var Vg_MIX_bias = "0" \o Setting var flo = "400M" \o Setting var gamma = "1" \o Setting temp(T) = 27 \o Setting var tf = "250e-15" \o Setting var tr = "250e-15" \o \o *Info* Netlist Directory = \o /w/ee.00/abidi/qnehal/simulation/CELL28_TIA_Sec_Order/tb_CLK_16PH_Weight_Recomb/adexl/results/data/Interactive.6/1/CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1/netlist \o \o \o *Info* Data Directory = \o /w/ee.00/abidi/qnehal/simulation/CELL28_TIA_Sec_Order/tb_CLK_16PH_Weight_Recomb/adexl/results/data/Interactive.6/1/CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1 \o \o \o *Info* Creating Netlist for Point ID (4 1) \o \o generate netlist... \o Begin Incremental Netlisting Dec 16 19:01:20 2014 \o End netlisting Dec 16 19:01:21 2014 \o \o The netlist is up to date. \o Time taken to compare the design with netlist: 1.0s \o ...successful. \o compose simulator input file... \o ...successful. \o \o *Info* Running simulation on testbench [ \o CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1 ] for \o Point ID (4 1). \o \o Delete psf data in /w/ee.00/abidi/qnehal/simulation/CELL28_TIA_Sec_Order/tb_CLK_16PH_Weight_Recomb/adexl/results/data/Interactive.6/1/CELL28_TIA_Sec_Order:tb_CLK_16PH_Weight_Recomb:1/psf. \o compose simulator input file... \o ...successful. \o start simulator if needed... \o ...successful. \o simulate... \o To view the simulator output, right-click on the test name, result \o name, or any value in the "Results" tab of the Outputs section and \o choose "Output Log" menu option. \o INFO (ADE-3067): Errors encountered during simulation. For more information, right-click \o on a test name in the 'Results' tab of the Outputs pane and choose 'Output Log' \o to view the simulation run log. \o \o *Error* Error ID = 5011 \o *Error* Error Msg = Simulator failed to complete the simulation. \o \o \o \o \o \o Simulation design variables differ from those on the cellView, \o they have been saved in the file "/tmp/saved-design-variables2". \o To save future changes, copy variables to cellView before exiting. \o \o *Info* Received signal SIGTERM. \o \o