• Home
  • :
  • Community
  • :
  • Blogs
  • :
  • Low Power

Low Power Blogs

Cadence Community
Cadence Community
  • Site
  • Community Search
  • User
Cadence Members

Login with a Cadence account.

LOG IN

Not a member yet?

Register | Membership benefits
Subscriptions

Get email delivery of the Cadence blog featured here

  • All Blog Categories
  • Breakfast Bytes
  • Cadence Academic Network
  • Cadence Support
  • Custom IC Design
  • カスタムIC/ミックスシグナル
  • 定制IC芯片设计
  • Digital Implementation
  • Functional Verification
  • IC Packaging and SiP Design
  • Life at Cadence
  • The India Circuit
  • Mixed-Signal Design
  • PCB Design
  • PCB設計/ICパッケージ設計
  • PCB、IC封装:设计与仿真分析
  • PCB解析/ICパッケージ解析
  • RF Design
  • RF /マイクロ波設計
  • Signal and Power Integrity (PCB/IC Packaging)
  • Silicon Signoff
  • Spotlight Taiwan
  • System Design and Verification
  • Tensilica and Design IP
  • Whiteboard Wednesdays
  • Archive
    • Cadence on the Beat
    • Industry Insights
    • Logic Design
    • Low Power
    • The Design Chronicles
  • Pete Hardee
    Low Power Design in 2011 and Predictions for 2012
    By Pete Hardee | 22 Dec 2011
    It's that time of year again - winding down towards the end of the year, taking some time with the family, and looking forward to returning refreshed for a new year. So what was the big news for low power in 2011 and what do we have to look forward to in 2012? It's sometimes humbling to look at one's own technology predictions and see how things fared a year or so further on. Sometime in 2010, I forget exactly when,...
    0 Comments
    Tags:
    2012 predictions | Low Power | FinFets | Moore's Law' | energy harvesting | CPF | Palladium | Low-Power | dpa | Hardee | low-power design | Power Analysis | ESL
  • Pete Hardee
    Low Power Marketing Hype – And What They Don’t Tell You
    By Pete Hardee | 30 Nov 2011
    Here in the USA, we're just back from the Thanksgiving holiday. This year, I got caught up in "Black Friday," which is the day after Thanksgiving, and one of the biggest shopping days of the year, especially for consumer electronics. I'm afraid to say I was convinced enough by some compelling advertising for Black Friday sales to brave the crowds to get a new large-screen HDTV. Doing some minimal research, I had...
    0 Comments
    Tags:
    Low Power | thanksgiving | green | LED | Low-Power | Black Friday | HDTV | LCD | low-power design | thermal | system power | SmartPower | reliability | low temperature
  • QiWang
    Si2 Interoperability Guide V2.0 Available for Download
    By QiWang | 31 Oct 2011
    Recently, the Silicon Integration Initiative (Si2) announced the availability of the Interoperability Guide for Power Format Standards V2.0 . This is an important milestone of power format interoperability between IEEE 1801-2009 and the Common Power Format (CPF). This update was triggered by the Si2's CPF 2.0 release earlier this year. CPF 2.0 is a major CPF release on top of the previous CPF 1.1 and 1.0 releases. Many...
    0 Comments
    Tags:
    CPF 2.0 | Low Power | IEEE 1801 | Si2 | CPF | tutorial | IEEE 1801-2009 | OpenLPM | Low-Power | low-power design | UPF | interoperability guide | power | Common Power Format
  • Pete Hardee
    Cadence Low Power Guru Wins Si2’s Distinguished Service Award
    By Pete Hardee | 21 Oct 2011
    Normal 0 false false false EN-US X-NONE X-NONE MicrosoftInternetExplorer4 ...
    0 Comments
    Tags:
    CPF 2.0 | Low Power | IEEE 1801 | Si2 | CPF | OpenLPM | Low-Power | Qi Wang | low-power design | UPF | LPC | Common Power Format
  • Pete Hardee
    Another Expert’s View on Power Intent and Hierarchy
    By Pete Hardee | 21 Sep 2011
    Normal 0 false false false EN-US X-NONE X-NONE ...
    0 Comments
    Tags:
    Low Power | IP | IEEE 1801 | Si2 | Luke Lang | CPF | Low-Power | Open Low Power Methodology | low-power design | UPF | power | Common Power Format
  • Adam Sherilog
    Low-power Keeps Gate-Level Simulation Forever Young
    By Adam Sherilog | 8 Sep 2011
    Ann Mutschler blogged in the Low-Power Engineering Community that gate-level simulation is coming back, driven in part by low-power verification needs. “In a small sense, what’s old is new” may actually be the biggest understatement in her blog. Ann attributes the observation to Cadence’s Pete Hardee who sees low-power architectural and synchronization dependencies that require gate-level details for proper verification...
    3 Comments
    Tags:
    gate-level simulation | Low Power | IEEE 1801 | Ann Mutschler | gate simulation | CPF | shutdown | low-power design | UPF | simulation | IES | verification
  • Pete Hardee
    An Expert’s View on Power Formats and Methodology
    By Pete Hardee | 24 Aug 2011
    In the last five years since the introduction of power formats, using a side file to describe power intent such as power domains, power modes and associated interface logic has become the mainstream low power design methodology. This marks great progress toward automating complex low power design techniques, but our job is not done. A recent interview by Ed Sperling with Sorin Dobre of Qualcomm, posted on the Low...
    0 Comments
    Tags:
    Low Power | IEEE 1801 | Si2 | Sorin Dobre | CPF | OpenLPM | Low-Power | Qualcomm | low-power design | UPF | Common Power Format | Silicon Integration Initiative
  • Pete Hardee
    Low Power Design -- Alive and Well at DAC
    By Pete Hardee | 14 Jun 2011
    Low power design was undoubtedly one of the themes of DAC this year -- especially at the Cadence booth. We drew lively interest on the DAC floor with our low power demo station, which was continuously busy especially on the free Monday. We were showing a new demo explaining how advanced low power techniques ("advanced" means the kind of techniques you need a power intent format to describe -- more on this later...
    0 Comments
    Tags:
    DAC | Low Power | IEEE 1801 | Si2 | CPF | Palladium | OpenLPM | Low-Power | low-power design | UPF | Common Power Format | Silicon Integration Initiative
  • QiWang
    GUC User Presentation at DAC: How to Do Low Power Design
    By QiWang | 13 Jun 2011
    Power was clearly a hot topic at the recent Design Automation Conference (DAC). Many companies demonstrated their unique tool capabilities to address power issues at different abstraction levels. However, we saw very few presentations that offered a user perspective on how they do low power designs and how EDA tools help. The presentation by Alex Kuo from Global Unichip Inc., at the EDA360 Theater in the Cadence booth...
    0 Comments
    Tags:
    DAC | Low Power | PSO | 28nm | CPF | Global Unichip | GUC | Alex Kuo | low-power design | DVFS | Design Automation Conference | Common Power Format
  • QiWang
    New Proof Points for CPF-enabled Cadence Low Power Solution
    By QiWang | 3 Jun 2011
    As the clock for the 48 th Design Automation Conference (DAC) ticks away, we at Cadence are scrambling to put the final touch-up on all our DAC activities. Even though my time is limited, I still would like to highlight the significance of two recent and seemingly unrelated events. First is a post at Deepchip.com on some user experiences with the Cadence Encounter® RTL-Compiler synthesis tool. In this post, two anonymous...
    0 Comments
    Tags:
    DAC | Low Power | deepchip | Si2 | CPF | OpenLPM | Low-Power | Common Power Format | Silicon Integration Initiative
  • SunilVGokhale
    How to Control Power Switch Rush Current
    By SunilVGokhale | 11 May 2011
    While there are multiple techniques for reducing power consumption, shutting off power domains is the main method used to reduce leakage power consumption. In power shut-off designs, there are multiple aspects designers need to take care of, including IR drop, turn-on time, rush current, and the number of power switches added. An earlier post in this Low Power blog, How Easy Is It to Switch Off Power? explored some of...
    0 Comments
    Tags:
    Low Power | rush current | Low-Power | power shutoff | in-rush current | encounter | Power Shut-Off | power | enable chain | power switch
  • QiWang
    How Easy Is It to Switch Off Power?
    By QiWang | 14 Apr 2011
    How easy is it to switch off power? "Honey, could you please make sure all the lights are off before going to bed?" Although I am always wondering why I have to be one to do this, I do not have too many complaints as it is a job of simply flipping a switch. Low power designers wish that designing a chip with power shutoff could be as simple as flipping a switch! The idea of power shutoff in chip design is like having...
    1 Comments
    Tags:
    Low Power | power gating | switch network | power shutoff | encounter | Power Delivery Network | Power Analysis | power switch
  • Pete Hardee
    Report from Japan – Quake Brings New Perspective on “Power”
    By Pete Hardee | 15 Mar 2011
    Back in December, I wrote a blog entry entitled " Perspective on Power - 300 Designers and 20,000 Miles Later... ". After the latest leg of my travels last week, taking our EDA360 Tech on Tour Low Power Symposium on the road to Taiwan and Japan, I intended to write an update to that blog article. Clearly, the trip was overshadowed by recent events in Japan. The week started great -- I arrived at Taipei Airport...
    0 Comments
    Tags:
    Low Power | earthquake | Japan earthquake: Japan quake | Low-Power | power-aware | tsunami | Hardee | system power | power | EDA360 Tech on Tour
  • Pete Hardee
    A Look Behind the Si2 CPF 2.0 Release
    By Pete Hardee | 15 Feb 2011
    The long awaited new version of the Common Power Format, CPF 2.0, was released by the Silicon Integration Initiative ( Si2 ), an industry standards organization, today. Here are several interesting observations from this latest release . First of all, this new release is a big step forward for interoperability between IEEE 1801 (Unified Power Format 2.0), the other industry power intent format, and CPF. In 2009...
    4 Comments
    Tags:
    CPF 2.0 | Low Power | Si2 | CPF | Low-Power | UPF | LPC | Common Power Format | Silicon Integration Initiative
  • Pete Hardee
    Perspective on Power – 300 Designers and 20,000 Miles Later…
    By Pete Hardee | 10 Dec 2010
    If you're like me, one of the things you appreciate about traveling is the contrasts. It's great to experience the different cultures, geography, architecture and cuisines across the world. It's the differences that make it exciting. It was fun to experience those differences once again as, for the first time in a while, I had a series of road trips taking me to seven major cities across North America, Europe and...
    1 Comments
    Tags:
    Low Power | MVt | PSO | MSV | Low-Power | Hardee | DVFS | power | EDA360 Tech on Tour
  • Adam Sherilog
    Cadence Low-power Verification: Tear Down These Walls
    By Adam Sherilog | 2 Nov 2010
    You've been building chips for years and the growing complexity means you just can't tolerate simple tool-to-tool flows and group-to-group barriers any more. SystemC and RTL in the same low-power simulation? Got it. Mixed-signal? Yep. Every team with fingers in the power intent? For sure. Siicon Realization is real to you because you're living it, but you need more from EDA so you're demanding "Cadence Low-power...
    0 Comments
    Tags:
    Low Power | Low-Power | Silicon Realization | simulation | IES | verification
  • Design4Life
    How Much Power is My Chip Really Using?
    By Design4Life | 20 Oct 2010
    Today I'd like to dive into one of the topics I mentioned in my blog in August -- measuring chip power. This seems to be one of the questions I get from many people. How can a design team effectively measure power all throughout the design flow, with the key phrase being "throughout the entire flow"? Power measurement requirements have evolved over the years from rough estimations to the need to have more precise power...
    2 Comments
    Tags:
    Low Power | Low-Power | clock tree | power-aware | Power Analysis | system power | power
  • Neyaz
    Digital Centric Mixed-Signal Dynamic Power Verification – Bringing It All Together
    By Neyaz | 19 Oct 2010
    This is the final posting in a series of blogs on dynamic power management in digital-centric mixed-signal verification environments. In this post, I will talk about concepts behind digital-centric mixed-signal verification (DMSV). My previous blogs covered some of the following topics: 1. Basics of dynamic power management 2. Very brief introduction to RNM (Real Number Modeling) for efficient simulation of mixed signal...
    0 Comments
    Tags:
    PVT | frequency | Low Power | IP | Khan | scaling | PSO | AVS | CPF | voltage | MSV | shutoff | Low-Power | adaptive | power-aware | Mixed-Signal | RNM | DVFS | mixed signal | thermal | LDO | IR drop | power | simulation | dynamic power
  • Neyaz
    Analog Coverage Metrics in Mixed-Signal Simulations
    By Neyaz | 5 Oct 2010
    This posting is part of a series of blogs on dynamic power management in digital-centric mixed-signal verification environments. In this post, I will cover metrics collection from analog circuits during mixed-signal simulation. My previous blogs covered some of the following topics: 1. Basics of dynamic power management 2. Very brief introduction to RNM (Real Number Modeling) for efficient simulation of mixed signal...
    0 Comments
    Tags:
    PVT | frequency | Low Power | scaling | PSO | AVS | CPF | voltage | MSV | shutoff | Low-Power | adaptive | RNM | DVFS | thermal | LDO | wreal | IR drop | simulation | dynamic power
  • Neyaz
    Error Detection for Controlled Voltage Sources and Voltage Scaling
    By Neyaz | 21 Sep 2010
    This posting is part of a series of blogs on dynamic power management in digital-centric mixed-signal verification environments. In this post, I will cover error detection. My previous blogs covered some of the following topics: 1. Basics of dynamic power management 2. Very brief introduction to RNM (Real Number Modeling) for efficient simulation of mixed signal SoCs 3. How to create a controlled voltage source using...
    0 Comments
    Tags:
    PVT | frequency | Low Power | scaling | PSO | AVS | CPF | voltage | MSV | shutoff | Low-Power | adaptive | power-aware | RNM | DVFS | LDO | wreal | power | dynamic power
  • Neyaz
    Simulation of Voltage Scaling for Dynamic Power Reduction
    By Neyaz | 7 Sep 2010
    This posting is part of a series of blogs on dynamic power management in digital-centric mixed-signal verification environments. In this post, I'll discuss the simulation of closed-loop voltage scaling for adaptive dynamic voltage and frequency scaling (DVFS). My previous blogs covered some of the following topics: 1. Basics of dynamic power management 2. Very brief introduction to RNM (Real Number Modeling...
    0 Comments
    Tags:
    Low Power | AVS | CPF | voltage | MSV | shutoff | Low-Power | DVFS | thermal | IR drop | power | simulation
  • Design4Life
    5 Tips to Help You Finish Your Low Power Design Tapeout On Time
    By Design4Life | 27 Aug 2010
    So you're about to start your first low power design. Or second, third, or fourth. As with many tapeouts, you know that with today's tight market windows, most likely the project will go off with a sprinting start (architectural planning), followed by an endurance test (designing and implementing), then a final mad dash towards the finish line (signoff closure and tapeout). First, the bad news - given the complexities...
    1 Comments
    Tags:
    Low Power | PSO | MSV | shutoff | Low-Power | fanout | DVFS | tapeout | IR drop | power | library | simulation
  • Neyaz
    Dynamic Power Management – Closed Loop Voltage Scaling
    By Neyaz | 24 Aug 2010
    This posting is part of a series of blogs on dynamic power management in digital-centric mixed-signal verification environments. In this post, I'll discuss open-loop and closed-loop voltage scaling. In previous blogs, I covered some of the following topics: Basics of dynamic power management Very brief introduction to RNM (Real Number Modeling) for efficient simulation of mixed signal SoCs How to create...
    1 Comments
    Tags:
    frequency | Low Power | PSO | AVS | CPF | voltage | MSV | shutoff | Low-Power | adaptive | RNM | DVFS | Power Analysis | thermal | LDO | wreal | IR drop | power | simulation | dynamic power
  • Pete Hardee
    Power Analysis: When Accurate Isn’t Accurate At All
    By Pete Hardee | 20 Aug 2010
    The notion that your ability to analyze power dissipation more accurately as your design proceeds down the levels of abstraction from system-level, to RTL, and to gate-level and transistor-level netlist has existed unchallenged for too long. Well, would I be tilting at windmills to challenge it? I could bore you all with the math, but fundamentally, dynamic power boils down to be a function of two things -...
    0 Comments
    Tags:
    Low Power | PSO | Palladium | MSV | Low-Power | DVFS | Power Analysis | power
  • Pete Hardee
    A Call For Power-Aware IP Models
    By Pete Hardee | 3 Aug 2010
    Power intent formats exist to express the design's low power techniques separately from the design's functional description. This promotes portability of the design across different power schemes. So why are most commercial IP providers forced to bury this critical information deep in gate-level simulation models and library files? Advanced low power design techniques, such as Power Shut-Off (PSO) with or without...
    0 Comments
    Tags:
    Low Power | IP | PSO | AVS | CPF | voltage | MSV | shutoff | Low-Power | power-aware | DVFS | UPF
<>