• Skip to main content
  • Skip to search
  • Skip to footer
Cadence Home
  • This search text may be transcribed, used, stored, or accessed by our third-party service providers per our Cookie Policy and Privacy Policy.

  1. Blogs
  2. Breakfast Bytes
  3. SEMICON 5nm: 7nm Is Just a Dress-Rehearsal
Paul McLellan
Paul McLellan

Community Member

Blog Activity
Options
  • Subscribe by email
  • More
  • Cancel
asml
5nm
stochastics
GlobalFoundries
7nm
EUV

SEMICON 5nm: 7nm Is Just a Dress-Rehearsal

16 Aug 2018 • 5 minute read

 breakfast bytes logo Usually I don't go to the last day of SEMICON West since not much happens that day. But they have got smart, and two of the most interesting sessions took place in TechXPOT (I think you pronounce that Techspot) on Thursday. In the afternoon was Scaling Every Which Way about, basically, technologies beyond 5nm. I will cover that at a later date. In the morning, it was Lithography at 5nm and Below.

This session featured:

  • Eric Hoster of GlobalFoundries on EUV Lithography: The Next Generation
  • Michael Lancel of ASML on Lithography for the 5nm Node and Beyond
  • Stephen Renwick of Nikon on Advanced Nodes with 193i Lithography
  • Christopher Progler of Photonics on EUV Mask Insertion: Confident or Compromise?
  • Mary Ann Hockey of Brewer Science on What is Really Happening with DSA? [directed self-assembly]
  • Neeraj Khanna of KLA Tencor on Addressing Process Control Challenges for the 5nm Node and Beyond
  • Angelique Riley of TEL on Novel Patterning Schemes and Technologies for the Sub-5nm Era with a Focus on EUV

There was obviously more material from that array of experts than I can possibly cover in a single blog post (or even several). Also, some of the presenters self-disqualified by not providing their presentations on the SEMICON website afterward. Photos of the monitors are never great at the best of times, but I was sitting off to one side so they were even more awful than normal.

The first presentation, by Eric Hoster, who is GF's EUV Lead Technologist, is where I'm going to focus. He works for a foundry so is a comparatively independent observer. The next two presentations, by ASML and Nikon could be summarized as "everything is going to be okay", and "we've got your back if they're not." I'll drop in appropriate statements from other speakers, but Eric's sober assessment of how much further we need to go to make EUV work for 5nm, even given that it's working(ish) at 7nm was fascinating.

Eric Hoster

Eric opened with a great quote:

We stand on the precipice of EUV insertion at 7nm. But 7nm is just the dress rehearsal for the next generation, which will be incredibly complex.

He recalled the i-line to KrF transition, which involved the introduction of chemically amplified resists (CAR), arc lamps changed to excimer laser, and new pellicle materials. It was disruptive. The transition to the second generation of KrF lithography was much more evolutionary. But he warned that the transition to the second generation of EUV will not be like that.

As he carried on to say:

We've solved a lot of challenges over the last 30 years to get to this point. Now we need to look at what we need in the future.

The grid on the left shows the ranking of the issues over the years for the introduction of the first generation of EUV (which is turning out to be 7nm, although everyone assumed it would be much earlier). The top worry was getting a reliable source, which seems to be on-track. But the chart on the right shows the ranking of the issues for the next generation EUV for 5nm, where the big challenge is to meet sensitivity, stochastics, and resolution simultaneously. Stochastics are pretty much the new name for line-edge-roughness (LER) since there are other things involved now. But the main tradeoff remains the same: sensitive resists, and high doses, cause big sochastic problems. Low doses, or low sensitivity get lower stochastics, but the throughput is uneconomical. If you want to go fast, you are going to have a stochastic problem.

The problem for 5nm and beyond is that as you increase the resist dose, you drive down the defect density. But as you go to smaller resolution, the same number of photons are in smaller area so we need to double the sensitivity of the photoresist. If we can't do that, and we probably can't do it enough, then, "we're gonna need more photons". The effective dose has to increase a lot at every node: 40 mJ/cm2 at 7nm, 60 mJ/cm2 at 5nm, and 120 mJ/cm2 at 3nm.

 As Eric pointed out, "we are victims of our own success since the molecular nature of matter is now relevant". For example, the photoacid generator (PAG) molecules in CAR have a spacing of 1.7nm in a high PAG-loaded resist. The variability can lead to notching and scumming (as in the picture I used at the start of this post). The adamantane molecules in the resist are 0.5nm cubes, in a process where the overlay budget (alignment error between different masks) is just 2.5nm. There are some hopes for the future, such as metal oxide resists and silsesquioxanes, which are very regular.

Another resolution issue is known as scanner fleet variation: at these resolutions, every EUV scanner is a little different due to aberrations. For example, the overlay between one layer and the next is typically much better if both layers are exposed on the same machine than on two different ones, but in practice in HVM you don't have that luxury.

Next Eric moved on ot look at productivity and cost of EUV. EUV is more cost-effective than optical triple-patterning for now. The next generation high-NA EUV machines will have very high cost-of-ownership (and they are two stories tall, so also impose costs on the fab construction). The tradeoff is between EUV LELE (litho-etch-litho-etch, ie double patterned EUV) versus high-NA next generation EUV, which might survive with single patterning for another node. He had a lot of detailed tables that I'm not going to reproduce here, but his conclusions were:

  • <70 mJ resist dose is needed
  • <350 shots per wafer is needed
  • >350W source power is needed
  • lithography performance will remain of paramount importance

 Finally, he moved onto OPC/RET (optical proximity correction, resolution enhancement technology, basically working out how to put different patterns on the mask so that it prints better). This is going to get lot more complex. RET has actually got a lot simpler, first with double patterned 193i which required less than the last generation of single patterned, and EUV required even less. But we can't get to 5nm and beyond without SRAFs, sub-resolution assist features. It will requires "OPC on steroids." However, model-based implementation of SRAFs has proven difficult even in optical lithography due to computational cost, shape complexity, and output file size. EUV further adds complications of minimizing pattern displacement error and best focus shifts.

Eric's finally summary slide. Just like the three most important things in real estate are location, location, location, so the three most important things in next-generation EUV are stochastics, stochastics, stochastics.

Michael Lercal

I won't cover all of Michael Lercal's presentation. But he works for ASML, the only company to manufacture EUV products. So their future roadmap is very important. Here is the one timeline summary:

 And his picture of the high-NA system architecture. Note the person on the left for scale. These things are huge.

 

Sign up for Sunday Brunch, the weekly Breakfast Bytes email.