Functional coverage in interface module

Hi all,

          i write the functional coverage group in inside interface module. i did't get the functional coverage result in "imc".  can any one tell me where i make a mistake?

my systemverilog code:

interface rtc_tb_if (input bit pclk,
                              input bit rtc_clk);


logic [31:0] pwdata;
logic [31:0] prdata;
logic [7:0] paddr;

logic preset_n;
logic pwrite;
logic psel;
logic penable;
logic pready;
logic rtc_int;
                 
modport BFM(input pclk, preset_n, paddr, pwdata, psel, penable, pwrite,
   output prdata, pready);

modport DUT(input pclk, rtc_clk, preset_n, paddr,pwdata,psel,penable,pwrite,
            output prdata, pready, rtc_int);

modport MON(input pclk, preset_n, paddr, pwdata, psel, penable, pwrite,
   output prdata, pready, rtc_int);

covergroup RTC_apb;

option.per_instance   = 1;
 
  coverpoint rtc_int{
  bins sec_intrr = {1}; 
  }
  
  coverpoint prdata{
  bins sec_int_sts = {32'h0000_0001};
  }
  
endgroup
 
 RTC_apb rtc_fc;
 
 initial
 begin
  rtc_fc = new();
 end
                                     
endinterface : rtc_tb_if

And my command is:

irun -coverage all

Best Regards

Mmaya

CDNS Forum Thread CSS JS
CDNS - Fix Layout