• Skip to main content
  • Skip to search
  • Skip to footer
Cadence Home
  • This search text may be transcribed, used, stored, or accessed by our third-party service providers per our Cookie Policy and Privacy Policy.

  1. Blogs
  2. Breakfast Bytes
  3. The History of Lithography, Part 2: From Double-Patterning…
Paul McLellan
Paul McLellan

Community Member

Blog Activity
Options
  • Subscribe by email
  • More
  • Cancel
asml
lithography
Double Patterning
multi-patterning
EUV

The History of Lithography, Part 2: From Double-Patterning to EUV

3 Jan 2020 • 8 minute read

 breakfast bytes logoThis is a continuation of yesterday's post The History of Lithography, Part 1: From Stones to Lasers. I'm going to drop in a copy of Lars Liebmann's Rosetta Stone of Lithography so you have it in this post, too. If you want more of an explanation of what it shows, then see yesterday's post.

Multi-Patterning

I mentioned above that at 20/22nm, the minimum pitch was 80nm. This is very significant since this is the absolute minimum pitch we can get out of the optical system using all the tricks: the best lenses, immersion, off-axis illumination, RET. But that assumes a mask in which all the patterns go in a single direction (horizontal only, or vertical only). For layers that needed Ls, with both vertical and horizontal segments, we needed double exposure for the first time, one mask for the horizontal patterns and one for the vertical. However, we still only needed one photoresist step (we just exposed it twice first). Also, from an EDA point of view, this wasn't true double patterning, requiring tools to be color-aware. But the rules about prohibited pitches and structures became more complex, leading to a further explosion in DRC rule decks.

To go further, we need to go to true double patterning, what is known as LELE (litho-etch-litho-etch). The EDA tools needed to color relevant polygons to partition them into the two masks. It seems that this should take us down to 40nm, but it only gets us to 50nm since the two masks are not self-aligned, so we need to give up 10nm for those misalignment errors, and 50nm is the smallest we can get with double patterning.

For the most critical layers, the misalignment errors of LELE are a problem. However, it is possible to do self-aligned double patterning (SADP), sometimes called sidewall-image-transfer. This removes the 10nm penalty. A sacrificial mandrel is constructed using a single mask (the pitch twice what we will end up with, and so easily single patterned). Then sidewalls are built on each side of the mandrel. The mandrel is removed, leaving everything at the desired pitch. However, this process only allows you to build gratings of lines with no ends. A separate cut mask is required to divide the lines up. In fact, this approach is often used on critical layers built with LELE. If you have ever seen layout for a modern chip, it looks extremely regular since only certain pitches are allowed, and then the lines have to be created as continuous and then cut.

Another wrinkle for EDA is that we eventually reach a process generation where the cut mask needs to be double patterned, so the tools have to color parts of the design where there isn't even any layout!

These techniques scale by splitting the polygons over more and more masks (more and more colors in the EDA tools). LELELE (usually written LE3 or LE-3), LE4. We can even do it self-aligned, too. SAQP. This works the same way as SADP that I described above, except instead of just sacrificing one mandrel, the sidewalls are used as a second level of mandrels to pitch double again. But obviously all these masks and process steps get prohibitively expensive even if they are technically feasible.

We are now off the end of the Rosetta Stone of Lithography. What comes next? The great hope was EUV, which was expected to be ready for insertion into manufacturing years ago. In the event, it was first used for volume production in the second-generation 7/10nm nodes starting in late 2018 and early 2019.

EUV

EUV (remember, that stands for extreme-ultra-violet, meaning a wavelength of 13.5nm) is very challenging for many reasons, probably the biggest being that it is absorbed by almost everything, including air and lenses. This means that an EUV scanner (sometimes called a stepper) has to operate in a full vacuum, and the optics have to be reflective (mirrors) not refractive (lenses).

From an EDA point of view, EUV lithography is actually a simplification, since it is single-patterned, at least for now. So we can turn all the graph-coloring stuff off. In another process node, either ASML gets their next-generation scanners to work with a higher NA, or even EUV will need to be double patterned.

I'm going to describe a couple of aspects of EUV in the rest of this post:

  • How do you create EUV light in the first place
  • How do you make a mirror that will reflect EUV light
  • The pellicle issue

EUV Light Source

If you have never heard of how an EUV light source works, you will think that I'm teasing you when I describe it, and check that it is not April 1. EUV light is created by taking droplets of tin about 30um in diameter and hitting them with a 20KW CO2 laser, so big and powerful that it lives on the floor underneath the fab and the laser light is directed up to the light source itself through tubes and mirrors. This turns the tin into a plasma that emits some EUV 13.5nm photons. To get a more continuous source, this has to be done a lot—about 50,000 times per second. There is a large mirror behind the source called the collector that focuses some of this light on what is called the intermediate focus, basically a small aperture where the light passes from the source to the EUV scanner itself. That will get you somewhere around 250W of EUV light entering the scanner.

That's right, from 20KW input, we get 250W output (about 1% of the input power) by zapping 50,000 droplets of tin per second. But the energy efficiency gets worse!

EUV Mirrors

The type of mirror that you have in your bathroom would not work for EUV since EUV won't even get through the glass to the mirrored surface on the back. That kind of mirror doesn't even work for astronomers, who put the mirrored coating on the front of their mirrors so there is no loss of light or distortion. But even that type of mirror simply absorbs EUV light. Remember, almost everything absorbs EUV light. So how do you build a mirror?

The mirror (including the mask, which is also reflective) is built up out of alternating layers of silicon and molybdenum. The thickness is carefully chosen so that 13.5nm light will be partially reflected by Bragg reflection. Each layer boundary reflects some of the photons since the two materials have different refractive indices. The maximum amount of light that is reflected is about 70%. Since there are about 12 mirrors in the light path in the current generation of scanners, this means that way less than 1% of the light makes it to the wafer to affect the photoresist.

The challenge with the photoresist is that you want it to be very sensitive, but also be very predictable so that you get the same pattern every time. The two problems are known as line edge roughness (LER) and stochastics. To minimize these, then we would like to use a less sensitive photoresist, and expose it for longer, so that we are using a lot more photons. But that would be too slow for high-volume production, and, besides, so little power reaches the wafer than we have to use a very sensitive photoresist.

The amount of usable energy that we get out of this whole setup is tiny. We started with a 20KW laser, to get 250W of light, to get around 2W into the photoresist to print the pattern. All the rest of the 250W created by the source goes into heating up the mirrors.

Pellicles

A pellicle is a cover for the mask that stops it from being contaminated. In conventional 193nm refractive optics, this is a thin cover that goes on the reticle. If a particle falls on the reticle, then it is not in the focal plane of the optics and so doesn't print. It is actually a thin (~1um) layer of polymer stretched over a frame attached to the reticle.

The challenge for EUV is to find a material to make a pellicle that doesn't absorb too much of the light. Remember, we already have hardly any energy reaching the photoresist, so we don't want to reduce it more with a pellicle. To make things worse, since EUV has reflective optics, the light path goes through the pellicle twice, just like when you look in your bathroom mirror, the light you are seeing showing your face has gone through the glass twice, once on the way in and once coming back after reflection.

But almost everything absorbs EUV light, so there are very few materials that work. Two that seem to be the most attractive are crystalline silicon and 2D graphene. A lot of progress has been made in reducing the amount of contamination, getting it close to zero already. Initial EUV deployments seem to be doing without pellicles, just depending on getting the amount of contaminant (fall on defects in the jargon) close to zero.

Video

Here is a video created by Cymer with more details on the source, and some details on the light path and the mirrors. Cymer is a company that ASML acquired that creates the light source (7 minutes):

Current Status and the Future of EUV

For an in-depth look at the status of EUV from my visit to imec in summer 2018, see my post Imec on EUV. Are We There Yet? That was 18 months ago, which is a long time in EUV-land, but there is a lot more detail there than in this post.

For a more detailed look at the current status of EUV, and where it is going in the future, the CEO of ASML (the only company that makes EUV scanners) gave one of the plenary keynotes at IEDM just last month. See my post IEDM 2019: An Overview...Plus the Future of EUV.

 

Sign up for Sunday Brunch, the weekly Breakfast Bytes email.