• Skip to main content
  • Skip to search
  • Skip to footer
Cadence Home
  • This search text may be transcribed, used, stored, or accessed by our third-party service providers per our Cookie Policy and Privacy Policy.

  1. Blogs
  2. Digital Design
  3. Smarter, Longer, Cooler: Low-Power Flow for the Devices…
sakshin
sakshin

Community Member

Blog Activity
Options
  • Subscribe by email
  • More
  • Cancel
CDNS - RequestDemo

Have a question? Need more information?

Contact Us
digital badge
Low Power
Cadence Online Support
training
Innovus
Power Analysis

Smarter, Longer, Cooler: Low-Power Flow for the Devices That Never Sleep

28 Oct 2025 • 2 minute read

In the age of mobile computing, AI acceleration, and edge devices, power efficiency is no longer a secondary concern—it's a design imperative. Engineers face increasing pressure to deliver high-performance chips that consume less power, generate less heat, and extend battery life. This is especially true in advanced nodes where leakage and dynamic power dominate. This demand has pushed semiconductor design into a new frontier: low power implementation.

To meet these challenges, Cadence offers the Innovus Low-Power Flow v25.1, a comprehensive training course that enables engineers to implement, optimize, and verify low-power designs with precision and efficiency.

Why Is This Low-Power Methodology Needed?

  1. Complex Power Architectures: Modern SoCs often feature multiple voltage domains, power shutoff regions, and state retention logic. Managing these manually is error-prone and inefficient. Innovus automates this complexity using the IEEE 1801 standard, ensuring power intent is preserved throughout the flow—from RTL to GDSII.
  2. Dynamic and Leakage Power Optimization: Innovus enables power-driven optimization across the flow, including:
    1. Dynamic power reduction via clock gating, DVFS, and MSV synthesis
    2. Leakage power reduction using multi-Vt cell selection, body biasing, and power shutoff techniques.
  3. Power-Aware Routing and Floorplanning: The methodology supports MSMV-aware detail routing, level shifter placement, and isolation cell insertion, ensuring timing closure and power integrity across domains.

What Does the Innovus Low-Power Flow Do?

  • Implements Low-Power Techniques – Innovus supports:
    1. Clock Gating: Reduces dynamic power by disabling unused clock paths
    2. Multi-Vt Optimization: Balances performance and leakage
    3. Power Shutoff (PSO): Turns off unused blocks to save leakage power
    4. DVFS: Dynamically adjusts voltage and frequency based on workload
    5. Substrate Biasing: Reduces leakage by adjusting transistor body voltage
  • Power Optimization Strategies – Three main strategies are available that offer tradeoffs between turnaround time, timing impact, and power savings:
    1. Full-flow optimization: Power is considered at every stage
    2. Late power recovery: Power optimization is applied post-CTS or post-route
    3. Interleaved opt_power: Power optimization is interleaved with timing steps
  • Power-Domain-Aware Implementation – Innovus enables:
    1. Creation and adjustment of power domains
    2. Placement of level shifters, isolation cells, and always-on buffers
    3. Power routing and switch cell optimization
    4. Verification using Conformal Low Power to ensure compliance with IEEE 1801

Online Resources and Training

If you find the post useful and want to delve deeper into training details, enroll in the following free online training course for lab instructions and a downloadable design: Innovus Low-Power Flow with Stylus Common UI v25.1

To assist you in further learning, we have some short videos and articles on low-power design and optimization available at our ASK portal.

Title

Topic

Videos

Implementing Low-Power Using Innovus Technology

Low-Power Optimization Using Always-on Buffers

Understanding the Type of Cells Used in Low-Power Designs

Troubleshooting Articles

Understanding Terminology and Basics of Low-Power Design

Basic Floorplanning in Innovus Implementation System 22.1x Common UI

Application Notes

Innovus: How to Perform Power Planning and Routing

Product Page

Innovus

Blog

Training Insights - Achieving a Holistic Power-Aware Design by Getting Low-Power Right

 

There is also a Digital Badge available for this course. Upon completing the course and passing the exam, learners earn a Cadence Certified Digital Badge, validated by Credly. This badge enhances professional credibility and can be showcased on LinkedIn, email signatures, and resumes.

Do you want to share this and other great Cadence learning opportunities with someone else? Ask them to subscribe.

Happy Learning!


CDNS - RequestDemo

Try Cadence Software for your next design!

Free Trials

© 2025 Cadence Design Systems, Inc. All Rights Reserved.

  • Terms of Use
  • Privacy
  • Cookie Policy
  • US Trademarks
  • Do Not Sell or Share My Personal Information