• Skip to main content
  • Skip to search
  • Skip to footer
Cadence Home
  • This search text may be transcribed, used, stored, or accessed by our third-party service providers per our Cookie Policy and Privacy Policy.

  1. Community Forums
  2. Custom IC Design
  3. ADE assembler: How to change packed probe limit for the...

Stats

  • Replies 2
  • Subscribers 129
  • Views 47
  • Members are here 0

ADE assembler: How to change packed probe limit for the AMS simulator (xcelium) ?

MC20250412421
MC20250412421 3 hours ago

Hello everyone !

I am stuck at a rather annoying problem. I have created a simple system verilog cellview with the following code that is just a register that samples the input signal:


`define WIDTH 5000

module TestSV (
    input logic clk,
    input logic rst,
	
    input logic [`WIDTH-1:0] in_bus,
    output logic [`WIDTH-1:0] out_bus
	
);

logic [`WIDTH-1:0] out_bus_n;    // next state
logic [`WIDTH-1:0] out_bus_p;    // present state

// assign outputs
assign out_bus = out_bus_p;

// output dff's
always_ff @(posedge clk or posedge rst) begin
    if (rst) begin
        out_bus_p <= '0;
    end else begin
        out_bus_p <= out_bus_n;
    end
end

always_comb begin
    out_bus_n = in_bus;
end

endmodule

I then connected this module in a schematic view with simple analog components like vdc and vpulse and used bus syntax to connect analog sources to the input bus of the sv module.
I then went into ADE assembler, set AMS as the simulator, set connect rules to use UCM (universal connect modules) as the default.
When I simulate my circuit with WIDTH = 4000 the simulation works perfectly as expected, when I simulate with WIDTH=5000, it doesn't work anymore and I get the following message for every single bit of my output bus:


    xcelium> probe -create -emptyok -database ams_database {TestSV_TB.out_bus[6]} xmsim: *W,LMTMSG: packed array at "TestSV_TB.out_bus" of 5000 elements exceeds limit of 4096 - not probed Use 'probe -create -packed 5000' to adjust limit. Created probe 4994 

I went to the support and found this page on support.cadence.com which is all fine and well but I don't see how to fix the issue within ADE assembler.

What I tried was right clicking on the ADE test, then I went  "Options -> AMS Simulator -> Miscellaneous -> Add User Tcl files" and added a file with set probe_packed_limit 10000 in it and it didn't fix anything. And obviously I would want to follow the hint xcelium gave me which is using probe -create -packed 5000 but this too I do not know how to add within ADE assembler. Any way to do that in ADE ?

Thanks in advance for your help !

Mike

  • Cancel
  • Sign in to reply
  • Andrew Beckett
    Andrew Beckett 1 hour ago

    Mike,

    Create a tcl file that looks like this:

    set probe_packed_limit 10000
    source probe.tcl

    Then add the user tcl file (as you mentioned), but also uncheck the Enable the Tcl file generated by ADE just above it on the Misc tab. The trouble is otherwise it includes the ADE generated probe.tcl file first, and it's too late to alter the probe_packed_limit. This way you set the limit and then include the probe file that would have been passed to xrun yourself, so you are changing the limit before all the probes.

    It seems to work for me, at least!

    Andrew

    • Cancel
    • Vote Up +1 Vote Down
    • Sign in to reply
    • Cancel
  • MC20250412421
    MC20250412421 50 minutes ago in reply to Andrew Beckett

    It works ! As always, you are spot on Andrew !

    Thank you so much for all your help

    • Cancel
    • Vote Up 0 Vote Down
    • Sign in to reply
    • Cancel

Community Guidelines

The Cadence Design Communities support Cadence users and technologists interacting to exchange ideas, news, technical information, and best practices to solve problems and get the most from Cadence technology. The community is open to everyone, and to provide the most value, we require participants to follow our Community Guidelines that facilitate a quality exchange of ideas and information. By accessing, contributing, using or downloading any materials from the site, you agree to be bound by the full Community Guidelines.

© 2026 Cadence Design Systems, Inc. All Rights Reserved.

  • Terms of Use
  • Privacy
  • Cookie Policy
  • US Trademarks
  • Do Not Sell or Share My Personal Information