• Skip to main content
  • Skip to search
  • Skip to footer
Cadence Home
  • This search text may be transcribed, used, stored, or accessed by our third-party service providers per our Cookie Policy and Privacy Policy.

  1. Community Forums
  2. Digital Implementation
  3. soc encounter-sdc file error

Stats

  • Locked Locked
  • Replies 9
  • Subscribers 94
  • Views 17732
  • Members are here 0
This discussion has been locked.
You can no longer post new replies to this discussion. If you have a question you can start a new discussion

soc encounter-sdc file error

shrene82
shrene82 over 15 years ago

Hi friends

Iam working on cadence soc encounter.

Iam using the technology library from OSU AMI 0.5 u 

Consider the following vhdl code for byte multipleser

...............................................................................

library ieee;
use ieee.std_logic_1164.all;
 
entity bytemux is
port(       md : in std_logic_vector(31 downto 0);
            sd : in std_logic_vector(31 downto 0);
            be : in std_logic_vector(3 downto 0);
     bytedata : out std_logic_vector(31 downto 0));
end bytemux;
....................................... ...................................

i have the follwing sdc file

set sdc_version 1.5
# Set the current design
current_design bytemux

set_wire_load_mode "enclosed"
set_max_dynamic_power 450 uW

...................................................................

My RTL import was successful in soc encounter 8.1

But when i tried the RTL synthesis i get the following error

____________________________________________________________

Statistics for commands executed by read_sdc:
 "current_design"          - successful      1 , failed      0 (runtime  0.00)
 "set_max_dynamic_power"   - successful      1 , failed      0 (runtime  0.00)
 "set_wire_load_mode"      - successful      1 , failed      0 (runtime  0.00)
Total runtime 0

EC INFO: Total cpu-time and memory after CONSTRAINT: 8 sec., 25.32 MBytes.

Error   : A required object parameter could not be found. [TUI-61] [path_group]
        : An object of type 'instance|external_delay|clock|port|pin' named '' could not be found.
        : Check to make sure that the object exists and is of the correct type.  The 'what_is' command can be used to determine the type of an object.
  path_group: assigns certain paths to a cost group

Usage: path_group [-mode <mode>]
           [-from <instance|external_delay|clock|port|pin>+]
           [-through <instance|port|pin>+]+
           [-to <instance|external_delay|clock|port|pin>+] [-paths <string>]
           [-setup] [-hold] [-name <string>] -group <cost_group>
 

Can somebody let me know where the error is

I think i made a simple syntax error somewhere

 

  • Cancel
Parents
  • archive
    archive over 15 years ago

     Based on the error message...

     EC ERROR: RC could not finish successfully. Force an exit now. (Failed on path_group -from {} -to {} -group C2C -name C2C)

     It looks like the script has something like...

    path_group -from [all::all_seqs] -to [all::all_seqs] -group C2C -name C2C

    which fails since [all::all_seqs] returns null. I see in the snippet from a previous reply that this is inside an 'if' construct that checks for the null condition. Is that the way that the construct is in the EC script?

    If the EC script does not have the path_group command within an if construct that checks for a null condition then I think your best bet would be to file a service request on support.cadence.com or to contact the support center at 877-CDS-4911.  

    • Cancel
    • Vote Up 0 Vote Down
    • Cancel
Reply
  • archive
    archive over 15 years ago

     Based on the error message...

     EC ERROR: RC could not finish successfully. Force an exit now. (Failed on path_group -from {} -to {} -group C2C -name C2C)

     It looks like the script has something like...

    path_group -from [all::all_seqs] -to [all::all_seqs] -group C2C -name C2C

    which fails since [all::all_seqs] returns null. I see in the snippet from a previous reply that this is inside an 'if' construct that checks for the null condition. Is that the way that the construct is in the EC script?

    If the EC script does not have the path_group command within an if construct that checks for a null condition then I think your best bet would be to file a service request on support.cadence.com or to contact the support center at 877-CDS-4911.  

    • Cancel
    • Vote Up 0 Vote Down
    • Cancel
Children
No Data

Community Guidelines

The Cadence Design Communities support Cadence users and technologists interacting to exchange ideas, news, technical information, and best practices to solve problems and get the most from Cadence technology. The community is open to everyone, and to provide the most value, we require participants to follow our Community Guidelines that facilitate a quality exchange of ideas and information. By accessing, contributing, using or downloading any materials from the site, you agree to be bound by the full Community Guidelines.

© 2025 Cadence Design Systems, Inc. All Rights Reserved.

  • Terms of Use
  • Privacy
  • Cookie Policy
  • US Trademarks
  • Do Not Sell or Share My Personal Information