• Skip to main content
  • Skip to search
  • Skip to footer
Cadence Home
  • This search text may be transcribed, used, stored, or accessed by our third-party service providers per our Cookie Policy and Privacy Policy.

  1. Community Forums
  2. Functional Verification
  3. VHDL source code encryption

Stats

  • Locked Locked
  • Replies 2
  • Subscribers 65
  • Views 16052
  • Members are here 0
This discussion has been locked.
You can no longer post new replies to this discussion. If you have a question you can start a new discussion

VHDL source code encryption

PaulU
PaulU over 15 years ago

I'm in a situation where some IP written in VHDL must be sent to a customer so he can simulate it. Of course we don't want to send the source code.

Normally, what we do is sending pre-compiled libraries, where the the VHDL files are compiled using the -nodebug option of vcom. This is for ModelSim/QuestaSim.

Now we're facing a customer who does not use ModelSim. Instead, he uses a simulator by Cadence (Incisive, I suppose, or ncsim). We do not have Cadence tools.

So, what's the best way to cope with this?

The first question is whether ncvhdl has a similar option as the -nodebug option of vcom, hiding all internal signals and hierarchy. I have tried to find the manual pages of ncvhdl on www.cadence.com but the search results from that site are awful. Another question is whether I as a non-Cadence customer can compile VHDL using Cadence tools.

Second thought is using the new VHDL-2008 `PROTECT keyword and create encrypted VHDL that can be compiled at the customer site.. A data sheet on the Incisive simulator however does not mention any 2008 support. Is this correct?

 Are there other options?

  • Cancel
Parents
  • PaulU
    PaulU over 15 years ago

    Mickey,

    Thanks for the quick response.  I will look into this later. If I need help, I will contact you offline.

    Best regards,

    Paul. 

    • Cancel
    • Vote Up 0 Vote Down
    • Cancel
Reply
  • PaulU
    PaulU over 15 years ago

    Mickey,

    Thanks for the quick response.  I will look into this later. If I need help, I will contact you offline.

    Best regards,

    Paul. 

    • Cancel
    • Vote Up 0 Vote Down
    • Cancel
Children
No Data

Community Guidelines

The Cadence Design Communities support Cadence users and technologists interacting to exchange ideas, news, technical information, and best practices to solve problems and get the most from Cadence technology. The community is open to everyone, and to provide the most value, we require participants to follow our Community Guidelines that facilitate a quality exchange of ideas and information. By accessing, contributing, using or downloading any materials from the site, you agree to be bound by the full Community Guidelines.

© 2025 Cadence Design Systems, Inc. All Rights Reserved.

  • Terms of Use
  • Privacy
  • Cookie Policy
  • US Trademarks
  • Do Not Sell or Share My Personal Information