• Skip to main content
  • Skip to search
  • Skip to footer
Cadence Home
  • This search text may be transcribed, used, stored, or accessed by our third-party service providers per our Cookie Policy and Privacy Policy.

  1. Community Forums
  2. Functional Verification
  3. ncelab and ncvlog version error conflict

Stats

  • Locked Locked
  • Replies 4
  • Subscribers 66
  • Views 17931
  • Members are here 0
This discussion has been locked.
You can no longer post new replies to this discussion. If you have a question you can start a new discussion

ncelab and ncvlog version error conflict

mhkvy4
mhkvy4 over 9 years ago

I am trying to follow a tutorial on how to use NClaunch interface to compile and elaborate verilog files.  There seems to be a version conflict when I get to the elaborate step. Here is the error I get:

ncvlog_cg: *F,NBADVR: The version of the Calling tool "TOOL: ncelab(64) 10.20-s076" does not match the version of the Called tool "TOOL: ncvlog_cg(64) 08.20-s015".

When I type in ncvlog and ncelab from the terminal, the versions appear to be same 64 bit ones, 08.20-s015.

Is there any way I can change the version ncelab called by nclaunch. 

Also when I try to use ncelab on my stimulus file from the command line, I get the following error:

Unable to find a unit named 'stimulus.v' in the libraries.

I am beginner to using ncverilog and nclaunch so any help would be appreciated. 

Thanks

  • Cancel
  • StephenH
    StephenH over 9 years ago

    This is a very strange error that one would normally never expect to see :-)

    I wonder if someone has messed with the tool installation...

    What is your PATH variable set to? Please share it here.

    Have you set CDS_INST_DIR (a common mistake, users should NOT set this as it's a tool internal variable)?

    Please also share your exact ncverilog command.

    As a point of reference, you should aim to use a newer Incisive release, the 10.20 stream moved out of support a very long time ago, we're on 15.10 now, and 14.10 is the oldest supported release today.

    Also, if you're new to the tool and creating a new flow, I would strongly recommend to use the "irun" front-end, as this is the preferred compile/elab/run tool that replaces the older ncverilog/ncvlog/ncelab/ncsim commands.

    • Cancel
    • Vote Up 0 Vote Down
    • Cancel
  • mhkvy4
    mhkvy4 over 9 years ago
    I haven't see the $CDS_INST_DIR variable. It was included when I made my cds.lib file. I was using nclaunch to elaborate two of my verilog files after compiling them. That's when I got the above bolded error. We have installed the 14.10 version of incisive but you have to load a newer environment module. I haven't tried running that yet. Maybe that is causing the error. Could you point me to a RAK which shows how to utilize nclaunch and irun? Thanks for the help.
    • Cancel
    • Vote Up 0 Vote Down
    • Cancel
  • StephenH
    StephenH over 9 years ago

    The verification RAKs are all available at this URL, but you'll need to have registered first on the front page of the support.cadence.com site to be able to view them. 

    We don't have a generic "ncverilog" or "irun" RAK because there are so many possible flows. It might be easiest if you either share your existing command lines here and we can re-factor them according to best practices, or yif you can't share your details on this forum, open a support case against the simulator requesting help, our hotline team will be able to guide you through getting set up.
    I would personally avoid using nclaunch, and would start if possible by:
    1. Delete any existing cds.lib, hdl.var and INCA_libs. We let irun build cds.lib and hdl.var on-demand, making your own tends to confuse the tool especially if you're new to it and make a mistake, and irun generally knows what to do without needing cds.lib or hdl.var.
    2. Use a single irun command to compile and elaborate everything. The basic command would be "irun -elaborate *.v *.sv *.c *.vhdl".
       If you have VHDL and need to compile it into named libraries, enclose the files in -makelib <libname> *.vhd -endlib, with one makelib/endlib per named library. VHDL also requires the -top <entity> argument to specify the top-level design unit.
      For Verilog you can add +define+MACRO=value and +incdir+/path/to/inc/dir, as well as -v or -y for cell libraries.
    3. For information on other switches use "irun -helpshowsubject" to list help topics, then pick a topic and get the related switches by running "irun -helpsubject Verilog" (where "Verilog" would be replaced by the topic of interest.
    4. Use "cdnshelp" to open the docs and search for specific information.
    Since you have access to 14.10, I would strongly encourage you to switch your environment to use that version, and make sure you remove all traces of the older versions from your shell environment (PATH, LD_LIBRARY_PATH etc). In general you should only ever set PATH to point to <inst_dir>/tools/bin/, and do not set any other environment variables for Incisive, as the built-in wrapper script takes care of the other settings in almost all cases, complex C/C++ compilation being the occasional exception to that rule.
    • Cancel
    • Vote Up 0 Vote Down
    • Cancel
  • Adwaya
    Adwaya over 7 years ago

    I have ncverilog and ncvlog version conflict.

    ncvlog: *F,NBADVR: The version of the Calling tool "TOOL: ncverilog 15.20-s035" does not match the version of the Called tool "TOOL: ncvlog 14.10-s018".

    I checked to see the verison of ncverilog, ncvlog, ncelab and ncsim

    It all points to the Incisive tool from 2017 : INCISIVE152

    Could you please advise me on this issue. 

    Thanks,

    Adwaya

    (adwayak1@umbc.edu)

    • Cancel
    • Vote Up 0 Vote Down
    • Cancel

Community Guidelines

The Cadence Design Communities support Cadence users and technologists interacting to exchange ideas, news, technical information, and best practices to solve problems and get the most from Cadence technology. The community is open to everyone, and to provide the most value, we require participants to follow our Community Guidelines that facilitate a quality exchange of ideas and information. By accessing, contributing, using or downloading any materials from the site, you agree to be bound by the full Community Guidelines.

© 2025 Cadence Design Systems, Inc. All Rights Reserved.

  • Terms of Use
  • Privacy
  • Cookie Policy
  • US Trademarks
  • Do Not Sell or Share My Personal Information