• Skip to main content
  • Skip to search
  • Skip to footer
Cadence Home
  • This search text may be transcribed, used, stored, or accessed by our third-party service providers per our Cookie Policy and Privacy Policy.

  1. Community Forums
  2. Functional Verification
  3. ncvlog: *F, SVARRA: SystemVerilog mode incompatible with...

Stats

  • Locked Locked
  • Replies 2
  • Subscribers 64
  • Views 14017
  • Members are here 0
This discussion has been locked.
You can no longer post new replies to this discussion. If you have a question you can start a new discussion

ncvlog: *F, SVARRA: SystemVerilog mode incompatible with array access option.

archive
archive over 17 years ago

Hi, all,
I am new to SystemVerilog, so I decided to start with simple things, like SVA, first.
However, there is still something I could not figure out.
I made an SVA checker, during compiling, I met this error message:
ncvlog: 05.83-s008: ........
ncvlog: *F, SVARRA:  SystemVerilog mode incompatible with array access option.
The code segment related to this problem I guess is:

module clk_div_checker(
   rst_n,
   ref_clk,
   div,
   input_clk,
   ...
);
parameter DIV_WIDTH = 6;
parameter DIV_MAX = DIV_WIDTH -1 ;
input rst_n;
input ref_clk;
inout [DIV_MAX:0] div;
input input_clk;
...
tri1 rst_n;
tri1[DIV_MAX:0] div;
...
integer ref_cnt;
integer check_idx;
reg   input_clk_latch;
...
always_ff @(negedge rst_n or posedge input_clk) begin
   if (!rst_n) check_idx
   else ckeck_idx
end
always_ff @(negedge rst_n or posedge ref_clk) begin
   if (!rst_n) input_clk_latch
   else input_clk_latch
end
...
clk_frequence: assert property (CLK_FREQUENCE) else
   $error("CLK_FREQUENCE: .....");
property CLK_FREQUENCE;
   @(posedge input_clk)
      disable iff (!rst_n)
         (ckeck_idx  >1) |-> ref_cnt == (div - 1);
endproperty
...

The ncvlog.args is:

-INCDIR $HOME_ENV/std_ovl
-INCDIR $HOME_ENV/verilog_dut/my_clk_checker
-INCDIR $HOME_ENV/verilog_dut
...
-DEFINE OVL_ASSERT_ON
-DEFINE OVL_COVER_ON
-DEFINE OVL_SVA
-DEFINE ASSERT_ON
...
-SV
...
// Source Files
$HOME_ENV/verilog_dut/dut.v
$HOME_ENV/verilog_dut/my_clk_checker/checker.v
...

I tried this with IUS 5.83 and IUS 6.11. Both of them give the same error message.
Have any idea what could be wrong? I really don't have any idea where to start checking.
Thanks.


Originally posted in cdnusers.org by explorer
  • Cancel
  • archive
    archive over 17 years ago

    I ran "nchelp ncvlog SVARR" and it said that you can't compile a SystemVerilog model with the "-nomempack" or "-arr_access" options. These options enable a particular PLI memory access routine that requires Verilog memories be stored using the Verilog-XL data structures. These PLI access routines are obsolete and have been explicitly disabled for SystemVerilog. They are very inefficient and their use is discouraged even in plain Verilog models. The SystemVerilog developers haven't even tried to make the standard backward compatible in this area.


    Originally posted in cdnusers.org by TAM
    • Cancel
    • Vote Up 0 Vote Down
    • Cancel
  • archive
    archive over 17 years ago

    Thanks.  It helps.
    I could not access this forum in last few days. This morning, I got this answer, and it helped me to find out what is wrong.
    Thanks againg.


    Originally posted in cdnusers.org by explorer
    • Cancel
    • Vote Up 0 Vote Down
    • Cancel

Community Guidelines

The Cadence Design Communities support Cadence users and technologists interacting to exchange ideas, news, technical information, and best practices to solve problems and get the most from Cadence technology. The community is open to everyone, and to provide the most value, we require participants to follow our Community Guidelines that facilitate a quality exchange of ideas and information. By accessing, contributing, using or downloading any materials from the site, you agree to be bound by the full Community Guidelines.

© 2025 Cadence Design Systems, Inc. All Rights Reserved.

  • Terms of Use
  • Privacy
  • Cookie Policy
  • US Trademarks
  • Do Not Sell or Share My Personal Information