Home
  • Products
  • Solutions
  • Support
  • Company
  • Products
  • Solutions
  • Support
  • Company
Community Forums Logic Design Check Constraints Problem

Stats

  • Locked Locked
  • Replies 2
  • Subscribers 74
  • Views 10719
  • Members are here 0
This discussion has been locked.
You can no longer post new replies to this discussion. If you have a question you can start a new discussion

Check Constraints Problem

Dimitris Ant
Dimitris Ant over 2 years ago

Hi,

I elaborate my design and then i read SDC constraints on GENUS. Then I run check_timing_intent to check the SDC quality and timing attributes placed on the current design. The result is

Sequential clock pins without clock waveform

The following sequential clock pins have no clock waveform driving them. No
timing constraints will be derived for paths leading to or from these pins.

pin:dig_part_neuromorphic_core/aer_decoder/addr_ack_reg/ena
pin:dig_part_neuromorphic_core/aer_decoder/addr_out_reg[0]/ena
pin:dig_part_neuromorphic_core/aer_decoder/addr_out_reg[1]/ena
... 76 other warnings in this category.
Use the -verbose option for more details.
-------------------------------------------------------------------------------


Lint summary
Unconnected/logic driven clocks 0
Sequential data pins driven by a clock signal 0
Sequential clock pins without clock waveform 79
Sequential clock pins with multiple clock waveforms 0
Generated clocks without clock waveform 0
Generated clocks with incompatible options 0
Generated clocks with multi-master clock 0
Paths constrained with different clocks 0
Loop-breaking cells for combinational feedback 0
Nets with multiple drivers 0
Timing exceptions with no effect 0
Suspicious multi_cycle exceptions 0
Pins/ports with conflicting case constants 0
Inputs without clocked external delays 0
Outputs without clocked external delays 0
Inputs without external driver/transition 0
Outputs without external load 0
Exceptions with invalid timing start-/endpoints 0

Total: 79

I don't know what this pin ena is. All 79 warnings are about pin ena on various modules. What constraint should I add to overcome this warning?
These are my constraints:

set_time_unit -picoseconds 1
set_load_unit -picofarads 1
create_clock [get_ports in_clk] -name clk1 -period 100000000 -waveform {0 2.5}
set_input_delay 10 -clock clk1 [all_inputs]
set_output_delay 10 -clock clk1 [all_outputs]

set_load 1.5 -min -pin_load [get_ports {out_addr_ack}]
set_load 3 -max -pin_load [get_ports {out_addr_ack}]
set_load 1.5 -min -pin_load [get_ports {out_neuron_1}]
set_load 3 -max -pin_load [get_ports {out_neuron_1}]
set_load 1.5 -min -pin_load [get_ports {out_neuron_2}]
set_load 3 -max -pin_load [get_ports {out_neuron_2}]
set_load 1.5 -min -pin_load [get_ports {out_neuron_3}]
set_load 3 -max -pin_load [get_ports {out_neuron_3}]
set_load 1.5 -min -pin_load [get_ports {out_neuron_4}]
set_load 3 -max -pin_load [get_ports {out_neuron_4}]
set_load 1.5 -min -pin_load [get_ports {out_neuron_5}]
set_load 3 -max -pin_load [get_ports {out_neuron_5}]
set_load 1.5 -min -pin_load [get_ports {out_neuron_6}]
set_load 3 -max -pin_load [get_ports {out_neuron_6}]
set_load 1.5 -min -pin_load [get_ports {out_neuron_7}]
set_load 3 -max -pin_load [get_ports {out_neuron_7}]
set_load 1.5 -min -pin_load [get_ports {out_neuron_8}]
set_load 3 -max -pin_load [get_ports {out_neuron_8}]

set_input_transition 0.5 [get_ports {in_addr_req}]
set_input_transition 0.5 [get_ports {in_address}]
set_input_transition 0.5 [get_ports {in_cs_write}]
set_input_transition 0.5 [get_ports {in_data_write}]
set_input_transition 0.5 [get_ports {in_data_write}]
set_input_transition 0.5 [get_ports {in_mode}]
set_input_transition 0.5 [get_ports {in_reset}]
set_input_transition 0.5 [get_ports {in_reset_2}]
set_input_transition 0.5 [get_ports {in_stop_timer}]

  • Cancel
  • Dimitris Ant
    Dimitris Ant over 1 year ago

    create_clock -name gen_clk1 -period ${MY_PERIOD_PS} -waveform $WAVEFORM [get_pins */*reg*/ena]

    • Cancel
    • Up 0 Down
    • Cancel
  • Dimo M
    Dimo M over 1 year ago in reply to Dimitris Ant

    Hi Dimitris,
    as the warning message is telling us, this ENA pins is a sequential clock pin, but it does not see a clock reaching it.
    You should investigate what is the reason for it, maybe your netlist is wrong ?

    Creating a generated clock directly on the register pins might suppress this warning, but its not a solution to your problem.
    If the master clock is not being propagated to these pins, then also the generated clock won't be properly tracked back to the master clock.


    Dimo

    • Cancel
    • Up 0 Down
    • Cancel

Community Guidelines

The Cadence Design Communities support Cadence users and technologists interacting to exchange ideas, news, technical information, and best practices to solve problems and get the most from Cadence technology. The community is open to everyone, and to provide the most value, we require participants to follow our Community Guidelines that facilitate a quality exchange of ideas and information. By accessing, contributing, using or downloading any materials from the site, you agree to be bound by the full Community Guidelines.

© 2023 Cadence Design Systems, Inc. All Rights Reserved.

  • Terms of Use
  • Privacy
  • Cookie Policy
  • US Trademarks
  • Do Not Sell or Share My Personal Information