• Skip to main content
  • Skip to search
  • Skip to footer
Cadence Home
  • This search text may be transcribed, used, stored, or accessed by our third-party service providers per our Cookie Policy and Privacy Policy.

  1. Community Forums
  2. Mixed-Signal Design
  3. ncsim: *F,RNAERR: The simulator terminated with an analog...

Stats

  • Locked Locked
  • Replies 6
  • Subscribers 64
  • Views 6634
  • Members are here 0
This discussion has been locked.
You can no longer post new replies to this discussion. If you have a question you can start a new discussion

ncsim: *F,RNAERR: The simulator terminated with an analog initialization error.

RFStuff
RFStuff over 4 years ago

Dear All,

I installed INCISIV152 and I tried to run a AMS simulation using ADE-L. It ran successfully.

When I used ADE-XL for the same set-up, I got the following errors.

ncelab: Memory Usage - 49.9M program + 59.2M data = 109.1M total (Peak 243.3M)
ncelab: CPU Usage - 0.1s system + 0.2s user = 0.3s total (0.3s, 94.2% cpu)
Loading snapshot param7_ams.tb_ssc:config .................... Done
ncsim: *W,NXDMSO: This design will require a check out of DMSO license when it is run with Xcelium.
Simulating in AMS-SIE mode...
ncsim: *W,DSEM2009: This SystemVerilog design is simulated as per IEEE 1800-2009 SystemVerilog simulation semantics. Use -disable_sem2009 option for turning off SV 2009 simulation semantics.
Starting analog simulation engine...
AMSD encountered an error: Invalid command-line arguments for spectre solver: +logstatus
See the Virtuoso AMS Designer Simulator User Guide for valid arguments of spectre solver.
ncsim: *F,RNAERR: The simulator terminated with an analog initialization error.
ncsim: Memory Usage - 38.6M program + 286.6M data = 325.3M total (326.1M Peak)
ncsim: CPU Usage - 0.0s system + 0.0s user = 0.0s total (0.1s, 82.5% cpu)
TOOL: irun(64) 15.20-s086: Exiting on Jan 04, 2021 at 19:08:10 IST (total: 00:00:11)

  • Cancel
  • Andrew Beckett
    Andrew Beckett over 4 years ago

    I answered this recently in this post.

    Andrew.

    • Cancel
    • Vote Up 0 Vote Down
    • Cancel
  • RFStuff
    RFStuff over 4 years ago in reply to Andrew Beckett

    Thanks Andrew. The simulation ran.

    But, when I am trying to change the Analysis (like the simulation time of Tran), it is not taking. I get the following error in CIW.

    *Error* geGetTopLevelCellView: argument #1 should be a window type (type template = "w") - nil

    • Cancel
    • Vote Up 0 Vote Down
    • Cancel
  • Andrew Beckett
    Andrew Beckett over 4 years ago in reply to RFStuff

    Can you please let me know what getVersion(t) returns? Then do the following:

    _stacktrace=50

    and repeat changing the analysis - then report what it shows in the CIW. If it still only shows just one line, try also:

    sstatus(errsetTrace t)

    and try again.

    This may help me narrow down what's failing (I did a quick search and didn't find anything obvious).

    Andrew

    • Cancel
    • Vote Up 0 Vote Down
    • Cancel
  • RFStuff
    RFStuff over 4 years ago in reply to Andrew Beckett

    Dear Andrew,

    I closed CIW and restarted virtuoso.

    I entered 

    _amsUISimFeatures->spectre_commandline_logstatus=nil     in CIW.

    I then did-->

    getVersion(t)
    "sub-version IC6.1.8-64b.500.14 "

    _stacktrace=50
    50

    I then changed the analysis. I didn't see anything in CIW and I see the change is being taken.

    I entered  the command sstatus(errsetTrace t) and then I changed the analysis and ran the sim. The simulation ran successfully though with following errors at the beginning.

    simulation run.
    *Error* lineread/read: syntax error encountered in input at line 1 column 121 in file *ciwInPort*
    <<< Stack Trace >>>
    (... in _axlRunAllTestsWithCallback ...)
    (... in axlRunSimulation ...)
    axlRunSimulation()
    *Error* lineread/read: syntax error encountered in input at line 1 column 97 in file *ciwInPort*
    <<< Stack Trace >>>
    (... in unknown ...)
    funobj@0x4b4b4598()
    (... in _axlQuietLineReadString ...)
    (... in unknown ...)
    (... in _axlEvaluateOpAcrossExpressionHelper ...)
    (... in _axlExprContainsSpecialKeywordWithHistory ...)
    (... in _axlExprContainsCalcValWithHistory ...)
    (... in _axlGetCalcValFromExpression ...)
    _axlGetCalcValFromExpression("\"File=All#Global#/A/manas/manas/work_cad_IC616_130nm/DEV_MODEL/spectre_all/fet.lib.scs Section=\"TT\";File=All#Global#/A/manas/manas/work_cad_IC616_130nm/DEV_MODEL/spectre_all/res.lib.scs Section=\"TYP\";File=All#Global#/A/manas/manas/work_cad_IC616_130nm/DEV_MODEL/spectre_all/cap.lib.scs Section=\"TYP\";File=All#Global#/A/manas/manas/work_cad_IC616_130nm/DEV_MODEL/spectre_all/bipolar.lib.scs Section=\"TYP\";File=All#Global#/A/manas/manas/work_cad_IC616_130nm/DEV_MODEL/spectre_all/diode.lib.scs Section=\"TYP\";File=All#Global#/A/manas/manas/work_cad_IC616_130nm/DEV_MODEL/spectre_all/ind.lib.scs Section=\"TYP\";File=All#Global#/A/manas/manas/work_cad_IC616_130nm/DEV_MODEL/spectre_all/prelayout.lib.scs Section=\"ON\";File=All#Global#/A/manas/manas/work_cad_IC616_130nm/DEV_MODEL/spectre_all/montecarlo.lib.scs Section=\"ALL_ON\";File=All#Global#/A/manas/manas/work_cad_IC616_130nm/DEV_MODEL/spectre_all/includes.scs Section=\"15M\";\"" ?isRunPlanRun nil)
    (... in _axlOrderVariables ...)
    (... in unknown ...)
    (... in _axlICRPApplyVariables ...)
    (... in unknown ...)
    (... in unknown ...)
    (... in _axlPrepare ...)
    (... in unknown ...)
    funobj@0x46d6a2c8()
    (... in unknown ...)
    (... in unknown ...)
    funobj@0x46d6a138()
    (... in _axlRunPoint ...)
    (... in unknown ...)
    (... in unknown ...)
    (... in _axlRunAll ...)
    (... in unknown ...)
    (... in _axlToolRunAllMethod (axlToolAdeAbstract t t) ...)
    (... in unknown ...)
    (... in _axlToolRunAllCore ...)
    (... in unknown ...)
    (... in unknown ...)
    (... in unknown ...)
    funobj@0x46d69c00()
    (... in _axlEnsureCleanUpFunction ...)
    (... in unknown ...)
    (... in _axlFuncForExecuteInTheContextOf ...)
    (... in unknown ...)
    (... in _axlCallInICXPClientContextMethod (axlICLPClient t) ...)
    (... in unknown ...)
    (... in _axlCallInICXPClientContext ...)
    (... in _axlToolRunAll ...)
    _axlToolRunAll(7 (("toolname" "ADE") ("testname" "param7_ams:tb_ssc:1") ("pointid" (&)) ("simreqid" 4) ("resdbpath" "/A/manas/manas/work_cad_IC616_130nm/SERDES_PCIE/param7_ams/tb_ssc/adexl/results/data/Interactive.93.rdb") ... ))
    (... in unknown ...)
    (... in unknown ...)
    (... in unknown ...)
    funobj@0x46d69b60()
    (... in _axlEnsureCleanUpFunction ...)
    (... in unknown ...)
    (... in _axlFuncForExecuteInTheContextOf ...)
    _axlFuncForExecuteInTheContextOf()
    *Error* lineread/read: syntax error encountered in input at line 1 column 97 in file *ciwInPort*
    <<< Stack Trace >>>
    (... in unknown ...)
    funobj@0x4b4b4598()
    (... in _axlQuietLineReadString ...)
    (... in unknown ...)
    (... in _axlEvaluateOpAcrossExpressionHelper ...)
    (... in unknown ...)
    (... in _axlGetCalcValFromExpression ...)
    _axlGetCalcValFromExpression("\"File=All#Global#/A/manas/manas/work_cad_IC616_130nm/DEV_MODEL/spectre_all/fet.lib.scs Section=\"TT\";File=All#Global#/A/manas/manas/work_cad_IC616_130nm/DEV_MODEL/spectre_all/res.lib.scs Section=\"TYP\";File=All#Global#/A/manas/manas/work_cad_IC616_130nm/DEV_MODEL/spectre_all/cap.lib.scs Section=\"TYP\";File=All#Global#/A/manas/manas/work_cad_IC616_130nm/DEV_MODEL/spectre_all/bipolar.lib.scs Section=\"TYP\";File=All#Global#/A/manas/manas/work_cad_IC616_130nm/DEV_MODEL/spectre_all/diode.lib.scs Section=\"TYP\";File=All#Global#/A/manas/manas/work_cad_IC616_130nm/DEV_MODEL/spectre_all/ind.lib.scs Section=\"TYP\";File=All#Global#/A/manas/manas/work_cad_IC616_130nm/DEV_MODEL/spectre_all/prelayout.lib.scs Section=\"ON\";File=All#Global#/A/manas/manas/work_cad_IC616_130nm/DEV_MODEL/spectre_all/montecarlo.lib.scs Section=\"ALL_ON\";File=All#Global#/A/manas/manas/work_cad_IC616_130nm/DEV_MODEL/spectre_all/includes.scs Section=\"15M\";\"" ?isRunPlanRun nil)
    (... in _axlOrderVariables ...)
    (... in unknown ...)
    (... in _axlICRPApplyVariables ...)
    (... in unknown ...)
    (... in unknown ...)
    (... in _axlPrepare ...)
    (... in unknown ...)
    funobj@0x46d6a2c8()
    (... in unknown ...)
    (... in unknown ...)
    funobj@0x46d6a138()
    (... in _axlRunPoint ...)
    (... in unknown ...)
    (... in unknown ...)
    (... in _axlRunAll ...)
    (... in unknown ...)
    (... in _axlToolRunAllMethod (axlToolAdeAbstract t t) ...)
    (... in unknown ...)
    (... in _axlToolRunAllCore ...)
    (... in unknown ...)
    (... in unknown ...)
    (... in unknown ...)
    funobj@0x46d69c00()
    (... in _axlEnsureCleanUpFunction ...)
    (... in unknown ...)
    (... in _axlFuncForExecuteInTheContextOf ...)
    (... in unknown ...)
    (... in _axlCallInICXPClientContextMethod (axlICLPClient t) ...)
    (... in unknown ...)
    (... in _axlCallInICXPClientContext ...)
    (... in _axlToolRunAll ...)
    _axlToolRunAll(7 (("toolname" "ADE") ("testname" "param7_ams:tb_ssc:1") ("pointid" (&)) ("simreqid" 4) ("resdbpath" "/A/manas/manas/work_cad_IC616_130nm/SERDES_PCIE/param7_ams/tb_ssc/adexl/results/data/Interactive.93.rdb") ... ))
    (... in unknown ...)
    (... in unknown ...)
    (... in unknown ...)
    funobj@0x46d69b60()
    (... in _axlEnsureCleanUpFunction ...)
    (... in unknown ...)
    (... in _axlFuncForExecuteInTheContextOf ...)
    _axlFuncForExecuteInTheContextOf()
    *Error* lineread/read: syntax error encountered in input at line 1 column 97 in file *ciwInPort*
    <<< Stack Trace >>>
    (... in unknown ...)
    funobj@0x4b4b4598()
    (... in _axlQuietLineReadString ...)
    (... in unknown ...)
    (... in _axlEvaluateOpAcrossExpressionHelper ...)
    (... in _axlGetVARDependenciesInExpressions ...)
    _axlGetVARDependenciesInExpressions("\"File=All#Global#/A/manas/manas/work_cad_IC616_130nm/DEV_MODEL/spectre_all/fet.lib.scs Section=\"TT\";File=All#Global#/A/manas/manas/work_cad_IC616_130nm/DEV_MODEL/spectre_all/res.lib.scs Section=\"TYP\";File=All#Global#/A/manas/manas/work_cad_IC616_130nm/DEV_MODEL/spectre_all/cap.lib.scs Section=\"TYP\";File=All#Global#/A/manas/manas/work_cad_IC616_130nm/DEV_MODEL/spectre_all/bipolar.lib.scs Section=\"TYP\";File=All#Global#/A/manas/manas/work_cad_IC616_130nm/DEV_MODEL/spectre_all/diode.lib.scs Section=\"TYP\";File=All#Global#/A/manas/manas/work_cad_IC616_130nm/DEV_MODEL/spectre_all/ind.lib.scs Section=\"TYP\";File=All#Global#/A/manas/manas/work_cad_IC616_130nm/DEV_MODEL/spectre_all/prelayout.lib.scs Section=\"ON\";File=All#Global#/A/manas/manas/work_cad_IC616_130nm/DEV_MODEL/spectre_all/montecarlo.lib.scs Section=\"ALL_ON\";File=All#Global#/A/manas/manas/work_cad_IC616_130nm/DEV_MODEL/spectre_all/includes.scs Section=\"15M\";\"")
    (... in _axlOrderVariables ...)
    (... in unknown ...)
    (... in _axlICRPApplyVariables ...)
    (... in unknown ...)
    (... in unknown ...)
    (... in _axlPrepare ...)
    (... in unknown ...)
    funobj@0x46d6a2c8()
    (... in unknown ...)
    (... in unknown ...)
    funobj@0x46d6a138()
    (... in _axlRunPoint ...)
    (... in unknown ...)
    (... in unknown ...)
    (... in _axlRunAll ...)
    (... in unknown ...)
    (... in _axlToolRunAllMethod (axlToolAdeAbstract t t) ...)
    (... in unknown ...)
    (... in _axlToolRunAllCore ...)
    (... in unknown ...)
    (... in unknown ...)
    (... in unknown ...)
    funobj@0x46d69c00()
    (... in _axlEnsureCleanUpFunction ...)
    (... in unknown ...)
    (... in _axlFuncForExecuteInTheContextOf ...)
    (... in unknown ...)
    (... in _axlCallInICXPClientContextMethod (axlICLPClient t) ...)
    (... in unknown ...)
    (... in _axlCallInICXPClientContext ...)
    (... in _axlToolRunAll ...)
    _axlToolRunAll(7 (("toolname" "ADE") ("testname" "param7_ams:tb_ssc:1") ("pointid" (&)) ("simreqid" 4) ("resdbpath" "/A/manas/manas/work_cad_IC616_130nm/SERDES_PCIE/param7_ams/tb_ssc/adexl/results/data/Interactive.93.rdb") ... ))
    (... in unknown ...)
    (... in unknown ...)
    (... in unknown ...)
    funobj@0x46d69b60()
    (... in _axlEnsureCleanUpFunction ...)
    (... in unknown ...)
    (... in _axlFuncForExecuteInTheContextOf ...)
    _axlFuncForExecuteInTheContextOf()
    *WARNING* (AMS-2002): Configuration properties have not been specified in Hierarchy Editor for the configuration.

    • Cancel
    • Vote Up 0 Vote Down
    • Cancel
  • Andrew Beckett
    Andrew Beckett over 4 years ago in reply to RFStuff

    Those stack trace settings are now just showing errors which are caught - so aren't relevant here.

    I don't know what was causing the original problem, but exiting and restarting appears to have solved it.

    I suggest you restart again to avoid getting all the noise in the CIW, and just use:

    _amsUISimFeatures->spectre_commandline_logstatus=nil

    Andrew

    • Cancel
    • Vote Up 0 Vote Down
    • Cancel
  • RFStuff
    RFStuff over 4 years ago in reply to Andrew Beckett

    Thanks a lot Andrew.

    • Cancel
    • Vote Up 0 Vote Down
    • Cancel

Community Guidelines

The Cadence Design Communities support Cadence users and technologists interacting to exchange ideas, news, technical information, and best practices to solve problems and get the most from Cadence technology. The community is open to everyone, and to provide the most value, we require participants to follow our Community Guidelines that facilitate a quality exchange of ideas and information. By accessing, contributing, using or downloading any materials from the site, you agree to be bound by the full Community Guidelines.

© 2025 Cadence Design Systems, Inc. All Rights Reserved.

  • Terms of Use
  • Privacy
  • Cookie Policy
  • US Trademarks
  • Do Not Sell or Share My Personal Information