• Skip to main content
  • Skip to search
  • Skip to footer
Cadence Home
  • This search text may be transcribed, used, stored, or accessed by our third-party service providers per our Cookie Policy and Privacy Policy.

  1. Community Forums
  2. Functional Verification
  3. Problem with follow simple code

Stats

  • Locked Locked
  • Replies 8
  • Subscribers 66
  • Views 15612
  • Members are here 0
This discussion has been locked.
You can no longer post new replies to this discussion. If you have a question you can start a new discussion

Problem with follow simple code

navis
navis over 16 years ago

 Hello all,

I'm trying to use some simple class wirh SystemVerilog.

But NCSim dosn't work properly. What is the reason?

Here is the code which is very basic and veri simple:

 

module class_opt(output logic x);

class gen;
    task display_d(bit [3:0] in);
        $display ("You entered %d",  in);
    endtask   
    task sig_gen;
        #100;
        x = 1'b1;
        #100;
        x = 1'b0;
    endtask
endclass:gen

gen a = new();

initial begin

    in = 1;
    a.display_d(in);
    in = 2;
    a.display_d(in);
    in = 3;
    a.display_d(in);
    a.sig_gen;
    a.sig_gen;
    a.sig_gen;
    a.sig_gen;
   
end
endmodule

 Any sugestions are welcome.

Thanks and regards

  • Cancel
Parents
  • navis
    navis over 16 years ago

     Hi Mickey,

    Thank you answering. Yes I do that.

    Bellow is my command line

    ncvlog -work worklib -cdslib /home/Projects/SysVerilog/cds.lib -logfile ncvlog.log -errormax 15 -update -linedebug -status -sv /home/Projects/SysVerilog/hdl/Class_opit/Calss_opt.sv

     

    What is strange, I tried this code with some other simulator, and it was able to drive this output.

     

    Bst regards,

     

     

    • Cancel
    • Vote Up 0 Vote Down
    • Cancel
Reply
  • navis
    navis over 16 years ago

     Hi Mickey,

    Thank you answering. Yes I do that.

    Bellow is my command line

    ncvlog -work worklib -cdslib /home/Projects/SysVerilog/cds.lib -logfile ncvlog.log -errormax 15 -update -linedebug -status -sv /home/Projects/SysVerilog/hdl/Class_opit/Calss_opt.sv

     

    What is strange, I tried this code with some other simulator, and it was able to drive this output.

     

    Bst regards,

     

     

    • Cancel
    • Vote Up 0 Vote Down
    • Cancel
Children
No Data

Community Guidelines

The Cadence Design Communities support Cadence users and technologists interacting to exchange ideas, news, technical information, and best practices to solve problems and get the most from Cadence technology. The community is open to everyone, and to provide the most value, we require participants to follow our Community Guidelines that facilitate a quality exchange of ideas and information. By accessing, contributing, using or downloading any materials from the site, you agree to be bound by the full Community Guidelines.

© 2025 Cadence Design Systems, Inc. All Rights Reserved.

  • Terms of Use
  • Privacy
  • Cookie Policy
  • US Trademarks
  • Do Not Sell or Share My Personal Information