• Skip to main content
  • Skip to search
  • Skip to footer
Cadence Home
  • This search text may be transcribed, used, stored, or accessed by our third-party service providers per our Cookie Policy and Privacy Policy.

  1. Blogs
  2. Community Forums
  3. Cadence Community
Cadence Community
  • This search text may be transcribed, used, stored, or accessed by our third-party service providers per our Cookie Policy and Privacy Policy.

  • Site
  • Search
  • User
Find Other Members ►
Subscriptions
Get email delivery of the Cadence blog (individual posts).
  • Twitter
  • Facebook
  • LinkedIn
  • Google+

Community


Blogs
Forums
Resources

Latest Forum Posts

Visit the User Forums »

Latest Blog Posts

  • Explore Photonics and Quantum Technologies at CadenceCONNECT 2025

    Corporate News: Explore Photonics and Quantum Technologies at CadenceCONNECT 2025

    Vinod Khera
    Vinod Khera
    The intersection of photonics and quantum computing marks a pivotal moment in advancing technological innovation. By harnessing the power of light to control, manipulate, and represent quantum states, we are unleashing unprecedented computational po...
    • 28 Sep 2025
  • Evolution of AMBA AXI Protocol: An Introduction to the Issue L Update

    Verification: Evolution of AMBA AXI Protocol: An Introduction to the Issue L Update

    Sandip Sadadiya
    Sandip Sadadiya
    To gain a comprehensive understanding of AMBA® AXI Issue L (AXI-L) protocol update, it is essential to first study the AXI-K specification, as AXI-L is built upon and extends the features introduced in AXI-K. The AXI-L protocol inherits the found...
    • 26 Sep 2025
  • An Introduction to AMBA CHI Chip-to-Chip (C2C) Protocol

    Verification: An Introduction to AMBA CHI Chip-to-Chip (C2C) Protocol

    DimitryP
    DimitryP

    As chip designs grow larger and more complex, they become increasingly difficult to manufacture as a single piece of silicon. Yields (the percentage of properly functioning chips from a semiconductor wafer) decrease significantly with larger die sizes. This limitation of the monolithic chips led to the advent of the multi-die architecture in which the smaller dies (which are easier to produce, resulting in higher yields…

    • 26 Sep 2025
  • BoardSurfers: Training Insights: Upskill Engineers using PCB Editor Basic Course

    System, PCB, & Package Design : BoardSurfers: Training Insights: Upskill Engineers using PCB Editor Basic Course

    anandd
    anandd
    Are you seeking an effective and proven method to onboard and upskill new engineers in PCB design? The Allegro X PCB Editor Basic Techniques course is specifically tailored for organizations eager to establish a solid foundation in PCB layo...
    • 25 Sep 2025
  • Vaire Computing – Near-Zero Energy Computing for Agentic AI

    Corporate News: Vaire Computing – Near-Zero Energy Computing for Agentic AI

    Tanushri Shah
    Tanushri Shah
    The demand for AI is on the rise, with agentic AI being the next frontier. However, the classic chip is no longer sustainable for intense applications. Costs have increased exponentially as chips require more and more energy and water for cooling. Us...
    • 25 Sep 2025
  • Virtuoso Studio IC23.1 ISR16 Now Available

    Analog/Custom Design: Virtuoso Studio IC23.1 ISR16 Now Available

    Virtuoso Release Team
    Virtuoso Release Team
    Virtuoso Studio IC23.1 ISR16 production release is now available for download.
    • 25 Sep 2025
  • Addressing Secondary Flow Effects in Turbomachinery with Fidelity CFD

    Computational Fluid Dynamics: Addressing Secondary Flow Effects in Turbomachinery with Fidelity CFD

    Veena Parthan
    Veena Parthan
    Secondary flows cause up to 50% of aerodynamic losses in turbines. This post explores the physics and mitigation using Cadence Fidelity CFD software.
    • 24 Sep 2025
  • Boosting AI Performance with CXL

    SoC and IP: Boosting AI Performance with CXL

    Vanessa Do
    Vanessa Do
    AI workloads are outpacing traditional memory architectures—but CXL®︎ offers a smarter path forward. Cadence's blog, "Boosting AI Performance with CXL," outlines how CXL enables dynamic memory expansion, memory sharing, and maintains coherency across devices to eliminate bottlenecks and boost performance for processing training and inference in large-scale AI systems.
    • 22 Sep 2025
  • Transceiver Simulation with UCIe reference channel is shown for Cadence's 32G-AP UCIe IP on TSMC's 3nm process technology

    SoC and IP: The Next-Generation UCIe IP Subsystem for Advanced Package Designs

    MBhatnagar
    MBhatnagar

    With the rapidly increasing connectivity demands driven by AI/ML and HPC/data center use cases, high-throughput die-to-die connectivity is more essential than ever. Cadence has already demonstrated its 32G UCIe standard package IP subsystem on TSMC’s 3nm (N3P) process technology. To bring even greater flexibility to our mutual customers, Cadence announces that it has taped out its IP subsystem for the 32G UCIe advanced…

    • 22 Sep 2025
  • Cadence at the TSMC OIP: Pioneering the Future of Semiconductor Design

    Corporate News: Cadence at the TSMC OIP: Pioneering the Future of Semiconductor Design

    Corporate
    Corporate
    The semiconductor industry stands at a pivotal moment. As we push toward more advanced nodes and complex architectures, the challenges facing chip designers have never been more demanding. From AI data centers requiring unprecedented performance, to ...
    • 20 Sep 2025
  • Virtuoso Studio: Faster, Smarter Object Creation with Quick Dimension Editing

    Analog/Custom Design: Virtuoso Studio: Faster, Smarter Object Creation with Quick Dimension Editing

    Rohini Garg
    Rohini Garg
    This blog introduces QDE, a feature that streamlines object creation. Instead of relying on manual drawing or dragging, users can now specify the exact measurements of an object through an intuitive interface. Click here to know more.
    • 19 Sep 2025
  • ALS Uses Cadence Sigrity X to Accelerate High-Speed PCB Design

    System, PCB, & Package Design : ALS Uses Cadence Sigrity X to Accelerate High-Speed PCB Design

    MSATeam
    MSATeam

    A new Cadence success story details how Advanced Layout Solutions (ALS), a UK-based design bureau specializing in high-speed PCBs, developed an efficient workflow that overcame significant design challenges due to increasing complexity in their projects that required higher accuracy and faster design cycles. The company’s expertise spans a range of complex designs in industries where precision and innovation are vital…

    • 18 Sep 2025
  • A Day in the Life: HR Intern Edition

    Life at Cadence: A Day in the Life: HR Intern Edition

    Michelle Hoffmann
    Michelle Hoffmann
    Written by Alisha Jain  Every day as a Cadence intern brings something new – whether it’s a project you’ve never tackled before or surprise conchas in the kitchen (both equally exciting). I started my morning around 8:30am with...
    • 18 Sep 2025
  • Building a Future Beyond Boundaries with Honda and Cadence

    Corporate News: Building a Future Beyond Boundaries with Honda and Cadence

    Corporate
    Corporate
    We at Cadence are proud to be a long-term partner of Honda R&D (HGRX), and our collaboration with Honda's research efforts emphasizes our commitment to advancing electronic design automation (EDA). We are honored to have Dr. Anirudh Devgan, o...
    • 17 Sep 2025
  • Cadence Powers AI Infra Summit '25: Memory, Interconnect, and Interface Focus

    SoC and IP: Cadence Powers AI Infra Summit '25: Memory, Interconnect, and Interface Focus

    Joe C
    Joe C

    AI is driving a new semiconductor renaissance—it's no longer just a workload, but the defining force behind a new era of semiconductor innovation. Cadence Fellow Charles Alpert echoed this message in his keynote, "Design for AI and AI for Design," offering insights into how AI is reshaping infrastructure and accelerating innovation. His talk set the tone for the AI Infra Summit 2025, paving the way for the Cadence Silicon…

    • 16 Sep 2025
  • RTL-to-GDSII Back-End Flow: Navigating from Synthesis to Timing Signoff

    Digital Design: RTL-to-GDSII Back-End Flow: Navigating from Synthesis to Timing Signoff

    P Saisrinivas
    P Saisrinivas

    Are you interested in learning the key steps to designing a physical layout from synthesis to signoff? Do you want to know the latest AI features in the RTL2GDSII back-end flow?

    Join Cadence Training and Sai Srinivas P, Lead Education Application Engineer, for this free technical training webinar and get insights from industry experts:
    RTL-to-GDSII Back-End Flow: Navigating from Synthesis to Timing Signoff

    In this webinar…

    • 16 Sep 2025
  • The Birth of the Integrated Circuit

    Corporate News: The Birth of the Integrated Circuit

    Reela Samuel
    Reela Samuel
    On September 12, 1958, in a modest lab in Dallas, Texas, the seeds of a digital revolution were sown. Jack Kilby, an inventive engineer at Texas Instruments, demonstrated the world's first integrated circuit (IC). It didn’t look ...
    • 12 Sep 2025
  • Alphawave Designs High-Quality, Complex Chips Quickly with Clarity 3D Solver

    System, PCB, & Package Design : Alphawave Designs High-Quality, Complex Chips Quickly with Clarity 3D Solver

    MSATeam
    MSATeam

     Alphawave Semi is a global leader in high-speed connectivity and compute silicon for customers in AI, data centers, 5G wireless infrastructure, data networking, autonomous vehicles, and storage. Faced with the exponential growth of data, the company’s leading-edge technology enables data to travel faster and more reliably using less power.

    In this two-minute Designed with Cadence (DWC) video, Daniel Lambalot, senior…

    • 11 Sep 2025
  • Virtuoso Studio: Schematic Syntax for Hierarchical Nodes and Buses in DeepProbe

    Analog/Custom Design: Virtuoso Studio: Schematic Syntax for Hierarchical Nodes and Buses in DeepProbe

    Sai Darshan S N
    Sai Darshan S N

    In the ever-evolving world of analog design, efficiency and clarity are key. With the latest enhancements in analogLib's DeepProbe instance, designers can now leverage schematic syntax and bus format support to streamline their debugging and analysis workflows. This blog explores how these features simplify the design process and improve productivity.

    Using Schematic Syntax for Hierarchical Nodes

    One of the standout…

    • 11 Sep 2025
  • VoxelSensors: Enhancing AI Agents Through Unique Perception Systems with Cadence

    Corporate News: VoxelSensors: Enhancing AI Agents Through Unique Perception Systems with Cadence

    Tanushri Shah
    Tanushri Shah
    AI agents are rapidly heading towards offering us personal assistance in our daily lives. To achieve this, the assistants must anticipate the user’s needs and the environment, and be able to process the context. For example, AI agents on a smar...
    • 11 Sep 2025
  • Design for Reliability: Midas Safety Platform

    SoC and IP: Design for Reliability: Midas Safety Platform

    Atreya
    Atreya
    In today’s safety-critical applications—automotive, aerospace, industrial automation—reliability modeling is not just a best practice, it’s a necessity. One of the most known methodologies for predicting failure rates in integ...
    • 10 Sep 2025
  • Transforming Equivalence Checking with Conformal AI Studio

    Digital Design: Transforming Equivalence Checking with Conformal AI Studio

    Atreya
    Atreya
    From Manual Debugging to AI-Powered Verification Imagine you're a verification engineer working on a complex SoC design. You've just completed synthesis and are running equivalence checks between your RTL and gate-level netlist. Suddenly, the...
    • 8 Sep 2025
  • From Concept to Cool: Optimizing Low-Power Design with Genus Synthesis Solution

    Digital Design: From Concept to Cool: Optimizing Low-Power Design with Genus Synthesis Solution

    Neha Joshi
    Neha Joshi

    Join Cadence Training and Education Application Engineer Architect, Neha Joshi, for this free technical Training Webinar, which will offer a detailed overview of low-power design strategies.

    Low-power synthesis isn’t just an IC design flow box to be checked; it's a foundational step and a strategic necessity.

    As modern SoCs become more power-sensitive, early power optimization at the synthesis stage becomes…

    • 8 Sep 2025
  • The Cadence SKILL Language: Where Coding Blends with Chip Design

    Analog/Custom Design: The Cadence SKILL Language: Where Coding Blends with Chip Design

    Vishnu Teja S
    Vishnu Teja S
    Imagine you're working on a critical design project, and you need a coffee break. You can use SKILL to automate the process of saving your work, closing your design, and even ordering a coffee (okay, maybe not that last one, but a designer can dream, right?).
    • 8 Sep 2025
  • Training Insight - Gateway to Smarter Diagnostics with Modus DFT Software

    Digital Design: Training Insight - Gateway to Smarter Diagnostics with Modus DFT Software

    KShubham
    KShubham

    In the rapidly evolving landscape of digital semiconductor design and testing, the ability to accurately diagnose manufacturing defects is critical to ensuring product reliability and optimizing yield. Cadence's Diagnostics with Modus DFT Software Solution training offers a structured and comprehensive approach to fault analysis using advanced design-for-test (DFT) methodologies. This course equips engineers and test…

    • 5 Sep 2025
<>
Cadence Guidelines

Community Guidelines

The Cadence Design Communities support Cadence users and technologists interacting to exchange ideas, news, technical information, and best practices to solve problems and get the most from Cadence technology. The community is open to everyone, and to provide the most value, we require participants to follow our Community Guidelines that facilitate a quality exchange of ideas and information. By accessing, contributing, using or downloading any materials from the site, you agree to be bound by the full Community Guidelines.

© 2025 Cadence Design Systems, Inc. All Rights Reserved.

  • Terms of Use
  • Privacy
  • Cookie Policy
  • US Trademarks
  • Do Not Sell or Share My Personal Information